欢迎来到加倍考研网! 北京 上海 广州 深圳 天津
微信二维码
在线客服 40004-98986
推荐适合你的在职研究生专业及院校
中国半导体设备行业市场研究报告里奈

中国半导体设备行业市场研究报告

第一章:半导体设备行业概述1.半导体设备简介:半导体设备,即在芯片制造和封测流程中应用到的设备,广义上也包括生产半导体原材料所需的机器设备。在整个芯片制造和封测过程中,会经过上千道加工工序,涉及到的设备种类大体有九大类,细分又可以划出百种不同的机台,占比较大市场份额的主要有:光刻机、刻蚀机、薄膜沉积设备、离子注入机、测试机、分选机、探针台等。2.半导体设备是半导体行业基石:半导体设备总市值几百亿美元,支撑着全球上万亿的电子软硬件大生态,设备对整个半导体行业有着放大和支撑作用,其确立了整个半导体产业可达到的硬性尺寸标准边际值。因此,半导体设备是半导体制造的基石。3.半导体设备发展驱动因素导体行业持续增长:作为半导体产业的发动机,半导体设备是半导体技术迭代的基石。近年来,半导体行业政策红利不断,随着物联网、可穿戴设备、5G等下游产业的进一步兴起,半导体行业迎来快速发展阶段。2010-2019年,中国集成电路销售额持续以两位数的增速增长,2019年达到7562.3亿元,同比增长15.8%;2020年上半年,销售额为3539亿元,尽管受到疫情的影响,但仍同比增长16.1%。摩尔定律推动行业技术发展:根据摩尔定律演进,每隔18-24个月芯片性能将提升一倍。1971年英特尔发布的第一个处理器4004,就采用10微米工艺生产,仅包含2300多个晶体管。随后,晶体管的制程节点以0.7倍的速度递减,90nm、65nm、45nm、32nm、22nm、16nm、10nm、7nm等等相继被成功研制出来,目前正向5nm、3nm、2nm突破。对半导体设备来说,根据半导体行业内“一代设备,一代工艺,一代产品”的经验,半导体设备要超前半导体产品制造开发新一代产品每更新一代工艺制程,则需更新一代更为先进的制程设备。产业政策有效扶植推进:从政策环境上来看,随着半导体产业不断深化,我国对于半导体设备行业愈加重视。其主要表现在对于整个IC产业链企业的政策优待以及对于半导体设备行业的相关规划与推动。其中较为突出的是《极大规模集成电路制造装备及成套工艺》项目(02专项),其以专项的形式组织了一批国内半导体设备公司进行了一系列重点工艺和技术的攻关,有效促进了我国半导体设备行业的发展,使得我国半导体设备行业涌现出了一批拥有国际竞争力的龙头企业。资本给产业带来新机遇:2014年6月国务院发布了《国家集成电路产业发展推进纲要》,奠定未来集成电路的战略发展方向,同时提出要设立国家产业投资基金的重要举措。同年9月,在工信部和财政部的指导下,国开金融、华芯投资等共同签署了《国家集成电路产业投资基金股份有限公司发起人协议》和《国家集成电路产业投资基金股份有限公司章程》,大基金正式设立(一期)。大基金一期共募得普通股987.2亿元,同时发行优先股400亿元,基金总规模达到1387.2亿元,以IC制造为主。2019年10月22日大基金二期正式成立,总规模高达2041.5亿元,于2020年3月开始进行实质投资,半导体设备、半导体材料等大基金一期投入相对较少的产业迎来发展机遇。第二章:半导体设备行业发展现状1.全球半导体设备行业发展全球半导体行业周期明显 新一轮上升周期来临:从全球半导体发展情况来看,受宏观经济变化及技术革新影响,半导体行业存在周期性。2017-2019年,全球半导体行业来到了下滑周期。2019年,全球固态存储及智能手机、PC需求增长放缓,全球贸易摩擦升温,导致全球半导体需求市场下滑,全年销售额为4121亿美元,同比下降12.1%。进入2020年,有5G商用化、数据中心、物联网、智慧城市、汽车电子等一系列新技术及市场需求做驱动,将给予半导体行业新的动能。全球半导体设备行业销售额出现下滑:根据国际半导体产业协会SEMI统计数据显示,近年来全球半导体设备销售额呈波动态势,2019年为597.5亿美元,比2018年的645.3亿美元的历史高点下降了7.4%。2020年一季度,全球半导体设备销售额为155.7亿美元,比2019年第四季度减少13%,但与2019年一季度相比,增长了13%。前道设备占据主要市场份额:在一个新晶圆投资建设中,设备投资一般占70-80%。按工艺流程分类,在新晶圆的设备投资中,晶圆加工的前道设备占据主要的市场份额,约85%;封测设备占据约15%的比重,其中测试设备9%,封装设备6%。全球半导体设备产业主要集中在中国台湾及大陆地区:从地区分布来看,2019年中国台湾是半导体设备的最大市场,销售额增长了68%,达到171.2亿美元,占全球市场的比重为28.65%。中国大陆则以134.5亿美元的销售额保持其第二大设备市场的地位,占比为22.51%。排名第三的是韩国,销售额为99.7亿美元,同比下降44%,占比为16.69%。尽管日本,欧洲和世界其他地区的新设备市场萎缩,但北美设备销售额在2019年跃升了40%,达到81.5亿美元,占比升到13.64%,这是该地区连续第三年增长。日美荷品牌占据全球前十大设备制造商地位:目前全球半导体设备市场集中度较高,以美国、荷兰、日本为代表的TOP10企业垄断了全球半导体设备市场90%以上的份额。美国著名设备公司应用材料、泛林半导体、泰瑞达、科天半导体合计占据整个设备市场40%以上份额,而且均处于薄膜、刻蚀、前后道检测三大细分领域的绝对龙头地位。技术领先和近半的市场占有率,任何半导体制造企业都很难完全脱离美国半导体设备供应体系。巨头瓜分细分市场:从半导体设备的各细分行业来看,依旧是被TOP10供应商垄断,应用材料、ASML、东京电子(TEL)头部三家公司合计占比高达60%-90%。其中,应用材料、TEL等企业横跨多细分领域,成为航母级龙头企业。2.中国半导体设备行业发展现状行业市场规模持续增长。根据SEMI数据显示,2013-2019年中国大陆半导体设备市场规模呈现逐年增长态势,增速波动变化。2019年行业实现市场规模134.5亿美元,同比增长2.6%,增速较2018年有所回落。2020年一季度行业实现规模35亿元,较2019年同期增长48%,可见我国半导体设备在2020年初的新冠肺炎事件中受到的影响并不显著。同时,中国大陆半导体设备市场规模占全球市场规模的比重一直在增长,2019年中国大陆在全球市场占比实现22.5%,较2018年增长了2.3个百分点。国产化率仍处于较低水平:虽然中国半导体专用设备企业销售规模不断增长,但整体国产率还处于较低的水平,目前中国半导体专用设备仍主要依赖进口。根据中国本土主要晶圆厂设备采购情况的统计数据,目前中国主要本土晶圆厂设备的国产化情况如下图。前道制程设备占主导地位:从产品细分结构来看,半导体设备主要分为前道制造设备以及后道封装测试设备。根据SEMI数据,2018年国内半导体设备主要集中在前道制程设备,其比重为78%,其中以光刻机、刻蚀机和薄膜沉积设备为主。此外,测试设备在半导体设备的占比为10%,封装设备在半导体设备中的比重约为7%。国内企业规模整体偏小:据中国电子专用设备工业协会的数据显示,2019年中国半导体设备TOP10企业共完成销售收入143.43亿元。2019年中国半导体设备制造商销售收入排列首位的是浙江晶盛机电股份有限公司,其2019年半导体设备销售收入达到28.86亿元,其次为北方华创科技集团股份有限公司,销售收入为28.42亿元。但对标全球半导体设备企业的销售收入来看,我国半导体设备行业内企业规模仍处于较低水平,行业设备需求多依赖于国际品牌。资本市场处于初级阶段:目前,我国半导体设备行业仍在追赶阶段,多数企业成立时间较短,从融资情况来看,2020年我国半导体设备行业企业的融资轮次多处于A轮以及战略投资。可见行业的融资情况仍处于初级阶段,从行业发展的情况来看,未来行业或将吸收更多的资金。第三章:半导体设备行业细分市场分析1.光刻设备光刻设备简介:光刻工艺是半导体制造中最为重要的工艺步骤之一。光刻的本质是把临时电路结构复制到硅片上,这些结构首先以图形形式制作在掩膜版上;光源透过掩膜版将图形转移到硅片表面的光敏薄膜上。光刻工艺主要流程有涂胶、软烘、对准曝光、显影、坚膜烘焙、刻蚀、去除清洗等一系列步骤。 所涉及到的主要设备有光刻、涂布、曝光显影、量测和清洗设备,其中价值量最大且技术壁垒最高的部分是光刻机。光刻机发展历程分析:光刻机发展至今,已经历了5代产品的迭代。第一二代均为接触接近式光刻机,使用光源分别为436nm的g-line和365nm的i-line;第三代为扫描投影式光刻机,光源改进为248nm的KrF激光,实现了跨越式发展,将最小工艺推进至180-130nm;第四代为浸没步进式投影式光刻机,是最具代表性的光刻机产品,1986年由ASML首先推出,采用193nmArF激光光源;第五代为EUV光刻机,采用极紫外光光源,是未来光刻机技术发展的主要方向。2010年ASML推出第一台EUV光刻机NXE:3100, 目前其是全世界唯一一家能够设计和制造EUV设备的厂商。全球光刻机需求量在300台左右:目前全球光刻机被ASML、Canon和Nikon三家供应商包揽。从行业需求来看,全球每年光刻机产出量300-400台,2019年,全球TOP3企业光刻机合计销售量354台,较2018年下降了3.8%。在2019年的354台光刻机设备中,ASML贡献了229台,占据着超60%的市场份额。2020年第一季度,全球光刻机top3企业销售量实现85台。ArF、i-line光刻机是主流:近年来,市场上销售的光刻机主要为EUV光刻机、ArF lm光刻机、ArF Dry光刻机、KrF光刻机和i-line光刻机。从2019年这五类光刻机的销量情况来看,ArF光刻机销量最高,达122台,其中ArF lm光刻机89台, ArF Dry光刻机33台。在这122台中,ASML贡献了大部分的份额。其次为i-line光刻机,销量为116台。光刻机国产化进行时:从中国市场来看,上海微电子装备有限公司(SMEE)是我国国内唯一能够做光刻机的企业。上海微电已经量产的光刻机中,性能最好的是SSA600/200工艺,能够达到90nm的制程工艺,而最新的荷兰ASML公司所生产的N+1光刻机是采用最新的制程,能够达到7nm的程度。因此,国内晶圆厂所需要的高端光刻机完全依赖进口,国产化脚步有待加快。此外,从光刻机工作台、涂布显影、去胶/清洗等其他光刻设备来看,我国在研企业还有华卓精科、芯源微、屹唐半导体等。2.刻蚀设备刻蚀设备简介:刻蚀是利用化学或者物理的方法将晶圆表面附着的不必要的材质进行去除的过程。按照刻蚀工艺划分,刻蚀其主要分为干法刻蚀以及湿法刻蚀,干法刻蚀主要利用反应气体与等离子体进行刻蚀,利用等离子体与表面薄膜反应,形成挥发性物质,或者直接轰击薄膜表面市值被腐蚀的工艺。湿法刻蚀工艺主要是将刻蚀材料浸泡在腐蚀液内进行腐蚀,该刻蚀方法会导致材料的横向纵向同时腐蚀,会导致一定的线宽损失。目前来看,干法刻蚀在半导体刻蚀中占据绝对主流低位,市场占比超过90%。全球刻蚀设备市场规模至2025年有望达到155亿美元:近年来,全球刻蚀设备市场快速发展。2013年,全球刻蚀设备市场规模约为40亿美元,随着闪存技术突破,存储市场拉动刻蚀设备需求明显增大,至2019年市场规模突破百亿美元,达到115亿美元。 SEMI预测2025年全球刻蚀设备市场空间达到155亿美元,年复合增速约为12%,市场空间增量主要来自于存储制造对刻蚀设备的需求激增。三大巨头垄断市场:从刻蚀设备主要品牌来看,目前该领域被泛林半导体、TEL、应用材料三家海外巨头所垄断。2019年,三家企业合计占全球刻蚀设备市场90%左右,其中泛林半导体又以50%的市场份额遥遥领先。工艺升级带动刻蚀机用量提升:根据中微公司披露的高阶制程刻蚀工艺来看,由于光刻机在20nm以下光刻步骤收到光波长度的限制,因此无法直接进行光刻与刻蚀步骤,而是通过多次光刻、刻蚀生产出符合人们要求的更微小的结构。目前普遍采用多重模板工艺原理, 即通过多次沉积、刻蚀等工艺,实现10nm线宽的制程。根据相关数据,14nm制程所需使用的刻蚀步骤达到64次,较28nm提升60%;7nm制程所需刻蚀步骤更是高达140次,较14nm提升118%,工艺升级持续推动刻蚀机用量提升。有望率先完成国产替代:从国内市场来看,刻蚀机尤其是介质刻蚀机,是我国最具优势的半导体设备领域,也是国产替代占比最高的重要半导体设备之一。目前我国主流设备中,去胶设备、刻蚀设备、热处理设备、清洗设备等的国产化率均已经达到20%以上。而这之中市场规模最大的则要数刻蚀设备。我国目前在刻蚀设备商代表公司为中微公司、北方华创以及屹唐半导体。中微与北方作为我国两家设备龙头企业,偏重领域有一定区别。3.薄膜沉积设备薄膜沉积设备简介:薄膜的沉积,是一连串涉及原子的吸附、吸附原子在表面扩散及在适当的位置下聚结,以渐渐形成薄膜并成长的过程。薄膜沉积工艺主要有原子层沉积(ALD)、物理式真空镀膜(PVD)、化学式真空镀膜(CVD)三种工艺。全球薄膜沉积设备市场规模至2025年有望达到340亿美元:根据Maximize Market Research数据统计,全球半导体薄膜沉积市场2017年市场空间约为125亿美元,预计到2025年将达到340亿美元,期间以年复合13.33%的速度增长。其中市场将以存储、AMOLED显示屏以及太阳能电站等新兴应用需求的增加为驱动薄膜沉积市场增长的核心动力。CVD设备占据过半市场份额:从半导体薄膜沉积设备主要类型来看,CVD设备占据着57%的薄膜沉积设备市场,领先于其他类型设备;其次是PVD,占比为25%;ALD及其他镀膜设备占据着18%的市场份额。薄膜沉积设备品牌竞争格局分析:从全球市场份额来看,ALD设备龙头TEL和ASM分别占据了31%和29%的市场份额,剩下40%的份额由其他厂商占据;而应用材料则基本垄断了PVD市场,占85%的比重,处于绝对龙头地位;在CVD市场中,应用材料全球占比约30%,连同泛林半导体的21%和TEL的19%,三大厂商占据了全球70%的市场份额。国产薄膜沉积设备取得重大进展:从国内市场看,中国薄膜沉积设备龙头有北方华创和沈阳拓荆。其中,北方华创产品线覆盖CVD、PVD和ALD三类;沈阳拓荆主攻CVD和ALD,目前技术储备均达到28/14nm节点。近年来两家公司分别在技术储备以及客户认证方面取得良好进展。2020年4月7日,北方华创宣布,其THEORISSN302D型12英寸氮化硅沉积设备进入国内集成电路制造龙头企业。该设备的交付,意味着国产立式LPCVD设备在先进集成电路制造领域的应用拓展上实现重大进展。4.清洗设备清洗设备分类——以湿法清洗为主:半导体清洗设备针对不同的工艺需求,对晶圆表面进行无损伤清洗以去除半导体制造过程中的颗粒、自然氧化层、金属污染、有机物、牺牲层、抛光残留物等杂质。按照清洗原理来分,清洗工艺可分为干法清洗和湿法清洗。在实际生产过程中一般将湿法和干法两种方法结合使用,目前90%以上的清洗步骤以湿法工艺为主。在湿法清洗工艺路线下,目前主流的清洗设备主要包括单片清洗设备、槽式清洗设备、组合式清洗设备和批式旋转喷淋清洗设备等,其中以单片清洗设备为主流。——清洗步骤贯穿全产业链:清洗设备直接影响集成电路的成品率,是贯穿半导体产业链的重要环节,在单晶硅片制造、光刻、刻蚀、沉积等关键制程及封装工艺中均为必要环节,约占所有芯片制造工序步骤30%以上,且随着节点的推进,清洗工序的数量和重要性会继续提升,清洗设备的需求量也将相应增加。清洗设备发展现状——行业规模波动幅度较大:根据Gartner统计数据,2018年全球半导体清洗设备市场规模为34.17亿美元,2019年和2020年受全球半导体行业景气度下行的影响,有所下降,分别为30.49亿美元和25.39亿美元,预计2021年随着全球半导体行业复苏,全球半导体清洗设备市场将呈逐年增长的趋势,2024 年预计全球半导体清洗设备行业将达到31.93亿美元。——马太效应明显 行业集中度较高:目前,全球半导体清洗设备市场主要由Screen(日本迪恩士)、TEL(日本东京电子)、Lam Research(美国拉姆研究)和SEMES(韩国)和拉姆研究等日美韩企业瓜分。根据Gartner数据显示,2018年全球排名前四的企业合计占据约98%的市场份额,行业马太效应显著,市场高度集中;其中日本厂商迪恩士以市占率45.1%处于绝对领先地位,而国内清洗设备龙头盛美半导体市占率仅为2.3%。——国内生产企业屈指可数:目前,中国大陆能提供半导体清洗设备的企业较少,主要包括盛美股份、北方华创(002371)、芯源微(688037)以及至纯科技(603690)四家公司。此外,据中国国际招标网统计,在芯片和集成电路制造厂商长江存储、华虹无锡、上海华力二期项目共累计累计采购的200多台清洗设备中,按中标数量对供应商排序,依次是DNS、盛美股份、LAM、TEL以及北方华创,所占份额依次是48%、20.5%、20%、6%和1%,盛美股份在国产清洗设备供应商中排名第一。可见,盛美股份是国内半导体清洗设备行业龙头企业,未来其市场发展空间较大,有望打破外企垄断,扩大市场份额。5.封装设备封装设备分类:典型的半导体封装工艺流程为:划片、装片、键合、塑封、去飞边、电镀、打印 、切筋和成型 、外观检查、 成品测试 、包装出货。与封装流程对应的,整个封装设备包括切割减薄设备、划片机、贴片机、固化设备、引线焊接/键合设备、塑封及切筋设备等。发展现状——行业规模占全球比重持续上涨:据SEMI数据显示,2018年全球封装设备市场规模约为42亿美元,另外根据VLSI数据,半导体设备中封装设备约占7%。假设该占比较稳定,结合SEMI最新数据,可估算得到2019全球封测设备市场空间约为41.86亿美元,2020年有望达到42.56亿美元。同时,根据SEMI数据,国内封装设备在半导体设备中的比重同样约为7%,2019年中国大陆半导体封装设备市场规模约为9.4亿美元,预计到2020年中国大陆半导体封测设备规模约为10.4 亿美元。从中国在全球的比重来看,2018-2020年我国半导体设备规模占全球比重不断上升,2019年约为22.5%。结合封测设备细分产品结构来看,根据VLSI数据,2018年全球半导体封装设备中的贴片机、划片机/检测设备、引线焊接设备、塑封/切筋成型设备等占比较大,分别约为 30%、28%、23%、18%。——市场仍以国际企业占据:目前,在全球封装设备领域的代表性企业包括ASM Pacific、K&S、Shinkawa、Besi等,同时,我国半导体封装设备市场同样被这些国际企业占据,且国产化程度很低。6.测试设备设备分类——测试机比重居于首位:测试设备贯穿于集成电路生产制造流程(包括IC设计、制造以及封测)。晶圆在封装前和封装过程中需进行多次多种测试,如封装前的晶圆测试(WAT测试)、在封测过程中需进行CP测试、封装完成后需进行FT测试等,所涉及设备包括探针台、测试机、分选机等。——测试机比重居于首位:从产品的细分结构来看,根据SEMI数据,2018年我国半导体测试设备中测试机的占比达到63.1%,居于首位;其次分选机和探针台分别占比17.4%和15.2%。值得注意的是,在测试机的细分产品中,存储测试机和SOC测试机占据主要份额,其占比分别达到43.8%和23.5%。——行业规模保持增长:根据SEMI数据,国内测试设备在半导体设备行业的的比重约为10%,据此进行测算得到,2019年中国大陆半导体测试设备市场规模约为13.11亿美元,并预计到2020年中国大陆半导体测试设备规模约为15亿美元。同时从全球角度来看,据Gartner数据,2016-2018年全球半导体测试设备的市场规模呈逐年增长态势,2018年行业规模为56.33亿美元,前瞻根据市场增速进行估算,2019年全球半导体测试设备规模约为65亿美元。——全球企业集中度较高:从企业竞争格局来看,目前全球半导体测试设备产业主要呈现美商Teradyne、日商Advantest、TEL等国际企业垄断的局面;而中国集成电路测试设备市场份额同样被国外企业瓜分,本土企业虽然与国际龙头相比在规模和技术方面仍然存在一定差距,但是近几年进步较大,市场份额逐步提升,相继涌现出华峰测控、长川科技等企业。第四章:半导体设备行业发展趋势分析半导体设备行业发展痛点:融资环境仍不成熟,高端技术和人才的缺乏,国产核心零部件配套能力薄弱,国外出口限制。半导体设备行业发展趋势:设备将向高精度化与高集成化方向发展,各类技术等级设备并存发展,国产化进程加快。半导体设备行业发展前景:随着国际产能不断向我国大陆地区转移,英特尔(Intel)、三星(Samsung)等国际大厂陆续在我国大陆地区投资建厂,同时在集成电路产业投资基金的引导下,我国大陆集成电路生产线建设热情高涨,我国大陆地区对半导体设备的需求巨大。结合全球半导体设备发展趋势以及我国半导体设备国产替代以及下游需求旺盛的多重作用,未来几年,我国半导体设备行业仍将保持高速增长,预计2020-2025年,我国半导体设备行业市场规模将保持在15%左右的复合增长率稳步提升,到2025年,全国半导体设备市场规模将达到298亿美元。报告节选:(报告观点属于原作者,仅供参考。报告来源:前瞻产业研究院)

飞行课

2018年全球及中国半导体封装设备市场结构及发展前景分析「图」

一、全球半导体封装设备发展现状根据半导体产业链,半导体设备可以分为晶圆制造设备、封装设备、测试设备和其他,其中封装是半导体设备制造过程中的最后一个环节,包含减薄/切割、贴装/互联、封装、测试等过程,封装的作用主要包括对芯片的支撑与机械保护,电信号的互连与引出,电源的分配和热管理。2018年,在全球半导体设备中,封装设备市场规模占比为6%。资料来源:公开资料整理封装设备主要切割减薄设备、引线机、键合机、分选测试机等,其中焊线机占比最大达31%,其次为贴片机,占比18%,划片/切割机占比15%。资料来源:公开资料整理二、国内半导体封装设备发展现状目前封装测试业已成为我国集成电路产业链中最具有国际竞争力的环节,几年来我国集成电路封测产业呈现快速增长态势,据中国半导体行业协会统计数据显示,2018年中国IC封测市场规模达2194亿元,同比2017年增长了16.1%。资料来源:中国半导体行业协会半导体封测市场处于半导体行业中游,近年来国内封测行业已较为成熟,作为半导体设备的重要一环,近年来我国半导体封装设备市场规模逐年增长,从国内半导体设备细分市场规模来看,其中封装设备占比为7%。资料来源:公开资料整理2014-2018年我国半导体封装设备投资额呈现快速增长态势,2018年中国封装设备投资总额达47.4亿元,同比2017年增长了56.4%。资料来源:公开资料整理三、半导体封装市场发展前景展望当前,全球半导体产业进入重大调整变革期,一方面,全球市场格局加快调整,投资规模迅速攀升,市场份额加速向优势企业集中;另一方面,移动智能终端、云计算、物联网、大数据、人工智能等新兴产业的快速发展,推动半导体技术飞速发展。半导体封装作为半导体产业链的重要组成部分,在半导体产业进入后摩尔时代后,封装技术是推动半导体器件向系统集成发展的重要推手。据统计,我国目前在建或即将建设的封装测试项目超过42个,强大的市场需求将给设备厂商带来不少的商业机会。半导体封测作为关键的后端程序,市场销量和销售额两项指标近年来都在不断稳步攀升,封装行业的总需求随着下游的扩张保持一个健康的增速。未来依靠线宽微缩已无法满足新兴应用对于高算力、低功耗、大带宽、低延迟、小体积、高传输速度的要求,凸块、硅通孔和再布线等前道制造工艺被引入后段封装领域,倒装、晶圆级封装和2.5D/3D TSV等先进封装需求逐步崛起。预计到2023年,全球先进封装市场规模有望扩大至390亿美元,先进封装需求占比将不断提升,扇出型倒装和2.5D/3D封装受到市场青睐。

贵贱有仪

半导体设备之封装设备行业专题报告

如需报告请登录【未来智库】。1、中国大陆封测市场正茁壮成长1.1.芯片性能要求不断提高,先进封装前景广阔 现代半导体产业分工愈发清晰,大致可分为设计、代工、封测三大环节,其 中封测即封装测试,位于半导体产业链的末端中下游:1)封装是将芯片在基板上布局、固定及连接,并用可塑性绝缘介质灌封形成 电子产品的过程,目的是保护芯片免受损伤,保证芯片的散热性能,以及实现电 能和电信号的传输,确保系统正常工作;2)测试主要是对芯片、电路等半导体产品的功能和性能进行验证的步骤,其 目的在于将有结构缺陷以及功能、性能不符合要求的半导体产品筛选出来,以确 保交付产品的正常应用。封装体主要是提供一个引线的接口,内部电性讯号可通过引脚将芯片链接到 系统,并避免硅芯片受到外力、水、湿气、化学物等的破坏和腐蚀等。封装有多种分类方法:1)按材料可以划分为:金属封装、陶瓷封装、塑料封 装等;2)按照和 PCB 板连接方式分为:PTH 封装、SMT 封装;3)按照封装外型可 分为:SOT、SOIC、TSSOP、QFN、QFP、BGA、CSP 等。随着 5G、人工智能、大数据等应用的不断扩张,对半导体器件性能的要求不 断提高,而先进封装技术在提升芯片性能方面展现的巨大优势,吸引了全球各大 主流 IC 封测厂商在先进封装领域进行持续布局,先进封装技术包括 FC BGA、FC QFN、2.5D/3D、WLCSP、Fan-Out 等非焊线形式。1.2.中国大陆封测市场规模在全球已占据较高比重根据 Yole 的统计数据,2018 年全球半导体市场规模约为 4688 亿美元,其中 封测市场规模约为 560 亿美元,占比约为 11.95%,全球前五名企业分别为中国台 湾日月光(19%,不含矽品精密)、美国安靠(15.6%)、中国长电科技(13%)、 矽品精密(10%)、力成科技(8%)。2018 年全球前十大封测厂中,包括三家中国大陆公司,分别是第 3 位的长电 科技、第 6 位的通富微电和第 7 位的华天科技,三者合计市占率达到 22%,大陆企 业在抢占中国台湾、美国、日韩等封测企业市场份额中规模不断扩大。国内集成电路大发展已经成为必由之路,中美贸易摩擦背景下,各个环节的 进口替代快速崛起,根据中国半导体行业协会数据,2019 年国内集成电路产业销 售额 7562.3 亿元人民币,同比增长 15.8%,其中设计、制造、封测环节的销售额 分别为 3063.5、2149.1、2349.7 亿元,分别同比增长 21.6%、18.2%、7.1%,其中 封测环节收入占比约为 31.1%。2.封装设备市场格局晶圆在封装前和封装过程中需进行多次多种测试,如封装前的晶圆测试(WAT 测试)、在封测过程中需进行 CP 测试、FT 测试等,所涉及设备包括探针探、测 试机、分选机等,该部分测试设备我们在此前专题报告《检测设备系列之二:半 导体测试设备——进口替代正当时-20200301》中已进行详细论述和市场规模测算, 在此不再赘述,下文对封装环节具体流程和对应设备进行分析和测算。 2.1.封装工艺流程——多环节、高要求IC 封测可以分为前段和后段工艺,具体加工环节包括磨片、划片、装片、键 合、塑封、电镀、切筋/打弯、打印、测试、包装、仓检、出货等环节,完成从晶 圆到芯片出厂的过程。2.1.1.前段工艺 在 IC 封装前段工艺中,除光学检测外,主要包括磨片、晶圆切割、引线键合 等,对应的设备有磨片机、切割机、引线键合机等,其中引线键合是封装工艺中 最为关键的一步,利用高纯度的金线、铜线或铝线把 Pad 和 Lead 通过焊接的方 法连接起来,Pad 是芯片上电路的外接点,Lead 是 Lead Frame 上的连接点。2.1.2.后段工艺IC 封测后段工艺中,除光检外,主要流程包括塑封、电镀、切筋/成型等环节, 对应的设备主要为塑封设备、电镀设备、切筋/成型设备等。2.2.全球封装市场规模约 42 亿美元 根据 SEMI2018 年报告数据,全球封装设备约为 42 亿美元。另外根据 2018 年 VLSI 数据,半导体设备中,晶圆代工厂设备采购额约占 80%,检测设备约占 8%, 封装设备约占 7%,硅片厂设备等其他约占 5%。假设该占比较稳定,结合 SEMI 最 新数据,2019 年全球半导体制造设备销售额达到 598 亿美元,此前预计 2020 年全 球半导体设备销售额将达到 608 亿美元,据此计算出 2019、2020 年全球封测设备 市场空间约为 41.86、42.56 亿美元。结合二者我们判断全球封测装备市场空间在 40-42 亿美元。与封装测试流程对应的,整个封装与测试设备包括划片机、引线焊接/键合设 备、贴片机、塑封及切筋设备、电镀设备等,根据 VLSI 2018 年数据,贴片机、 划片机/检测设备、引线焊接设备、塑封/切筋成型设备等占比较大,分别约为 30%、 28%、23%、18%,则按照全球封测设备市场规模 42 亿美元计算,前述设备市场规 模分别为贴片机 12.6 亿美元、划片机/检测设备 11.76 亿美元、引线焊接设备 9.66 亿美元、塑封、切筋成型设备 7.56 亿美元。根据 SEMI 2018 年数据,国内封装设备在半导体设备中的比重同样约为 7%, 结合 SEMI 的数据,2018、2019 年中国大陆半导体设备销售额分别为 134.5 亿美元、 2020 年将达到 149 亿美元,则 2018-2020 年中国大陆半导体封装设备市场规模约 为 9.2、9.4、10.4 亿美元。2.3.大基金二期已起航,卡脖子环节亟待突破 2014 年 6 月国务院颁布《集成电路产业发展推进纲要》,根据此文,我国集 成电路产业 2020 年要达到与国际先进水平的差距逐步缩小、企业可持续发展能力 大幅增强的发展目标,到 2030 年,我国集成电路产业链主要环节达到国际先进水 平,一批企业进入国际第一梯队。同年 9 月国家集成电路产业基金成立,总规模 1387 亿元,至 2018 年 5 月已 经投资完毕,公开投资公司为 23 家,未公开投资公司为 29 家,累计有效投资项 目达到 70 个左右,引导带动社会融资新增达到 5000 亿元左右。2019 年 10 月 22 日国家集成电路产业投资基金二期股份有限公司(简称“国 家大基金二期”)注册成立,注册资本 2041.5 亿元,两倍于一期的注册资本,按 照 1∶3 的撬动比,所撬动的社会资金规模在 6000 亿元左右。国家大基金二期共有 27 位股东,第一大股东为财政部,出资 225 亿元占股 11.02%,其余几家分别为国开金融有限责任公司(10.78%)、浙江富浙集成电路 产业发展有限公司(7.35%)、上海国盛(集团)有限公司(7.35%)、中国烟草 总公司(7.35%)、重庆战略性新兴产业股权投资基金合伙企业(有限合伙) (7.35%)、成都天府国集投资有限公司(7.35%)和武汉光谷金融控股集团有限 公司(7.35%)。在 2019 年 9 月中国(上海)集成电路创新峰会上,国家大基金表示未来投资布 局方向主要有三,如下表所示,可见在大基金一期完成产业布局后,二期将重点 支持龙头企业做大做强、产业聚集以及下游应用,其中对刻蚀机、薄膜设备、测 试设备和清洗设备等领域已布局的企业保持高强度的持续支持,加快开展光刻机、化学机械研磨设备等核心设备以及关键零部件的投资布局等措施都将很大程度上 利好国内半导体设备龙头企业。3.全球重要企业分析 在全球封装设备领域,全球重要企业有 ASM Pacific、K&S、Shinkawa、Besi 等等, 国内市场同样为这些国际企业占据且目前国产化程度很低。3.1.ASM Pacific(0522.HK)ASM Pacific Technology Ltd.是一家主要从事半导体及电子行业机械及材料 生产业务的中国香港投资控股公司,公司业务覆盖中国、英国、新加坡及马来西 亚等地。公司通过三大分部运营:1)后工序设备分部从事后工序设备的开发、生 产及销售业务,其产品包括焊接机、发光二极管(LED)设备及测试处理机等;2) 表面贴装技术解决方案分部提供表面贴装技术相关解决方案;3)物料分部从事引 线框架业务。ASM 于 1975 在中国香港成立,是全球首个为半导体封装及电子产品生产的所 有工艺步骤提供技术和解决方案的设备制造商,包括从半导体封装材料和后段 (芯片集成、焊接、封装)到 SMT 工艺。其中后工序设备业务生产及提供半导体 装嵌及封装设备,应用于微电子,半导体,光电子,及光电市场,可以提供多元 化产品如固晶系统,焊线系统,滴胶系统,切筋及成型系统及全方位生产线设备,物料业务生产及提供半导体封装材料,由引线框架部和模塑互连基板部构成;SMT 解决方案业务负责为 SMT、半导体和太阳能市场开发和分销一流的 DEK 印刷机, 以及一流的 SIPLACE SMT 贴装解决方案。3.2.库力索法半导体(K&S,KLIC.O) 库力索法半导体(库力索法工业股份有限公司) Kulicke and Soffa Instries, Inc 创立于 1951 年,是美国一家半导体封装设备设计与制造的全球 领导商,公司主要生产半导体封装所需的焊针和晶圆切割所需的刀片,其中焊针 产品的全球市占率达 50%,近年通过战略并购,在其核心产品球焊线的基础上,增 加了贴片机、楔焊机等诸多产品解决方案。公司主要客户包括半导体设备制造商、委外封测厂、其他电子制造商及汽车 电子供应商等,分为 2 个部门来运作:Equipment(半导体设备)、Expendable Tools(消耗性工具)。1、Equipment——制造和销售一系列球式焊接机,以便在半导体器件或管芯 的键合焊盘与其封装上的引线之间连接由金,银合金或铜制成的非常细的电线; 晶圆级接合机,其对倒装芯片组装工艺的一些变型机械地施加凸块至晶粒;楔形 焊接机连接功率封装,功率混合电路和汽车模块的半导体芯片;该部门还提供先 进的封装和自适应机器分析芯片到衬底焊接机,用于倒装芯片和热压接应用;电 子装配解决方案,以及备件,设备维修,维护和保养,设备升级和培训服务。2、Expendable Tools——为各种半导体封装应用提供各种消耗性工具。该部 门的产品包括用于球磨机的毛细管,以及金线接合;用于重型楔形焊接机的楔块; 用于将硅晶片切割成单个半导体管芯的切割刀片。3.3.新川 Shinkawa(6274.T) 1959 年 Shinkawa 成立于日本,主要为芯片制造商和电子元件制造商制造和销 售半导体制造设备,从 1963 年宣布推出二极管自动组装机和自动分选机开始,致 力于半导体制造设备的自动化,这是当时半导体工厂最耗费人力的过程;1977 年, 公司开发了业内第一台内置设备的微型计算机,并于 1977 年宣布了世界上第一台 全自动引线键合机,为精密和高性能半导体的生产做出了重大贡献。目前公司产 品包括贴片机、焊线机、倒装芯片键合机、凸焊机等。3.4.Besi(BESI.AS) Besi 是面向全球半导体和电子行业的半导体组装设备的领先供应商,为电子、 移动互联网、汽车、工业、LED 和太阳能等众多最终用户市场开发领先的组装工艺 和设备,用于引线框架、基板和晶圆级封装应用,客户主要是领先的半导体制造 商,组装分包商以及电子和工业公司。4.国内企业进展4.1.盛美半导体 盛美半导体设备公司是国际领先的半导体和晶圆级封装设备供应商,目前盛 美半导体的子公司盛美上海已接受上市辅导。近日公司发布新产品,适用于晶圆 级先进封装应用(Wafer Level Advance Package)的无应力抛光(Stree-FreePolish)解决方案,在 2019 年第四季度已交付一台先进封装级无应力抛光设备至 中国晶圆级封装龙头企业。先进封装级无应力抛光(Ultra SFP ap)设计用于解 决先进封装中,硅通孔(TSV)和扇出(FOWLP)应用金属平坦化工艺中表层铜层过 厚引起晶圆翘曲的问题。先进封装级无应力抛光技术整合无应力抛光(SFP)、化学机械研磨(CMP)、和 湿法刻蚀工艺(Wet-Etch),通过这三步工艺,在化学机械研磨和湿法刻蚀工艺前, 采用电化学方法无应力去除晶圆表面铜层,释放晶圆的应力。4.2.中电科 45 所 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所)创立 于 1958 年,是国内专门从事电子元器件关键工艺设备技术、设备整机系统以及设 备应用工艺研究开发和生产制造的国家重点科研生产单位。45 所以光学细微加工和精密机械与系统自动化为专业方向,以机器视觉技术、 运动控制技术、精密运动工作台与物料传输系统技术、精密零部件设计优化与高 效制造技术、设备应用工艺研究与物化技术、整机系统集成技术等六大共性关键 技术为支撑,围绕集成电路制造设备、半导体照明器件制造设备、光伏电池制造 设备、光电组件制造和系统集成与服务等五个重点技术领域,开发出了电子材料 加工设备、芯片制造设备、光/声/电检测设备、化学处理设备、先进封装设备、 电子图形印刷设备、晶体元器件和光伏电池等八大类工艺设备和产品,服务于集 成电路、光电元器件与组件、半导体照明和太阳能光伏电池四大行业。4.3.苏州艾科瑞思 苏州艾科瑞思智能装备股份有限公司成立于 2010 年,始终专注于高端装片机 的研发、设计、制造和销售,重点开发高速、高精准、更智能的半导体封装设备, 为集成电路、微波组件、高速光模块、MEMS 传感器、摄像头模组等领域客户提供 最佳性价比的微组装设备。艾科瑞思的产品已成功进入业内一流客户,公司和兵器工业集团、中电科集 团、华天科技(002185)、中际旭创(300308)、Oplink(NASDAQ:OPLK)等领 先客户建立战略合作伙伴关系。公司核心优势包括领先的机器视觉和运动控制技术、丰富的半导体封装工艺 制程经验以及全面的质量管控系统,现在的艾科瑞思研发和生产设备齐全,资金 供应链坚实有力,目前已有精芯、智芯、慧芯、睿芯、悦芯、麒芯等六个系列在 内的近 30 种机型,为产品申请 6 项国家商标权保护,申请专利 70 余件(已授权 53 件),其中发明专利 23 件(已授权 16 件);获授权软件著作权 6 件。装片机(Die bonder)作为半导体封装产线核心装备,艾科瑞思的装片机产 品经苏州市质量技术监督综合检验检测中心的严格测试和试验,经科技部查新, 经江苏省工信厅组织专家两新鉴定,在技术设计、工艺制造上属国内首创,能够 填补国产中高端装片机的市场空白,有效替代进口。艾科瑞思慧芯系列点胶装片 机是目前唯一能够进入上市公司(华天科技)集成电路量产产线的国产点胶装片机 品牌(已陆续交付 116 台),打破荷兰 ASM 公司三十年市场垄断。智芯系列 SiP 模块多芯片高精度装片机是国内唯一能在光通讯行业领军企业中际旭创(300308) 100G/400G 产线中替代瑞士 Besi 公司的国内品牌。4.4.大连佳峰 大连佳峰自动化股份有限公司成立于 2001 年 10 月,主要从事芯片生产所需 自动化设备的研发、制造与销售,是国内领先的拥有自主知识产权的从事集成电 路封装设备的高新技术企业,公司主要产品包括软焊料装片机(Soft Solder Die Bonder)、IC 用全自动装片机(Epoxy Die Bonder)、粗铝线超声波打线机 (Ultrasonic Au Wire Bonder)、IGBT 装片机(Die bonder for IGBT)等,能 满足 TO、SOP、QFN、LQFP、IGBT 和 RFID 等各色封装技术和工艺要求。经过十多年的市场磨砺,公司产品在整体技术水平上已达到国际先进,完全 可以替代进口设备,已被国内几百家封装企业认可及好评,并远销中国台湾及日 本,先后获得国家重点新产品奖、国家火炬计划重点高新技术奖及多届国家半导 体行业协会创新产品和技术奖,获得发明专利授权 10 项,实用新型专利 12 项, 所有产品皆具有自主知识产权。企业重视国际先进技术的引进,与多家世界一流 集成电路设备公司有着紧密的合作,包括知名的日本、韩国及新加坡企业。4.5.深圳翠涛 深圳翠涛自动化设备股份有限公司是一家设在中国大陆以半导体设备研发、 设计、生产、销售为一体的国家高新技术企业。公司主要致力发展具有完全自主 知识产权、科技含量高、有独创性和广阔市场前景的自动化类产品,以高品质的 原材料、优秀的产品设计、完备的精密工量具与完善的品质管理、精、稳、准、 快的焊接技术领先国内同行水平。公司主要服务于微电子后工序封装设备与 LED 光电照明设备封装领域,并且 还能为半导体及微电子领域提供增值服务。“JAL”品牌的邦定机、固晶机、全自 动荧光粉喷胶机是公司目前的主力产品,公司现已成为大的国产 COB 邦定机与喷 胶机供应商,市场除中国大陆地区外还出口至韩国、中国台湾、中东等地区。 ……(报告观点属于原作者,仅供参考。报告来源:华西证券)如需报告原文档请登录【未来智库】。

冢迹

电子整机装联设备市场研究:共生发展,新一代电子产品更新换代

大多数电子产品都包含基板、元器件及其他相关插件,但是你有没有想过这些零部件是通过什么样的设备拼装出来的?相信大部分人都不清楚。其实这些电子产品之所以能够轻松成品并大规模进行生产,主要依赖于电子整机装联设备这个神奇的小能手。神奇小能手究竟有多神奇?只要面对那些电脑上的装焊图或电原理图,有了电子整机装联设备,就可以根据它们的要求轻松将某些电子元器件,比如无源器件、有源器件或接插件等,准确无误装焊到PCB基板上,化抽象为现实。一、电子整机装联设备产业链电子整机装联设备产业链如图所示:从产业链看,上游行业为提供各类电子元器件的半导体企业及伺服电机、减速器、控制器、风机、变压器、型材等零部件及材料制造企业。中游行业是电子整机装联行业,是将电子/光电子元器件、基板PCB、导线、连接器等零部件,根据电图设计利用电子整机装联设备进行装配和电气连通的过程。电子整机装联设备主要包括有表面贴装印刷设备、插件(片)机、贴片机、波峰焊设备、回流焊设备、AOI检测设备、编带设备以及屏蔽设备等。这些设备在各自分工合作之下,便可以使得电子产品实现小型化、轻量化制造。电子整机装联工艺技术有两大类:SMT(表面组装)技术和THT(穿插孔)技术。而两者技术之间的根本区别在于一个是“贴”,另一个是 “插”。SMT技术的精髓在于可以直接将元器件平贴并焊接到PCB上。而THT技术需要对焊盘进行钻插装孔,再将电子元器件的引线插入PCB的焊盘孔内并加以焊接的。相比之下,SMT技术在组装方面可以做到更高的组装密度、更强的抗振能力以及更低的焊点缺陷率,因此才会成为当前主流的电子装联工艺。电子整机装联设备行业下游应用行业包括消费电子、家用电器、工业控制、汽车电子、LED 照明、太阳能光伏、国防电子、航天电子、机床电子、医疗电子等行业。从工艺技术来看,THT技术主要用于雷达、汽车电子、UPS、驱动器、功率放大器以及开关电源等大功率产品。SMT技术则多用于高密度、高集成化的微器件焊接组装工艺,如通讯设备、嵌入式控制器、程控交换机等。二、电子整机装联设备市场概况电子整机装联设备行业是依赖着电子信息产业的快速发展而迅速壮大的,两者是共生关系。全球第四次制造业大迁移发生在20世纪90年代,由中国接棒亚洲四小龙承接全球制造业。产业转移使得我国迅速成为世界的电子产品制造中心,这为电子整机装联设备行业的发展提供了良好的市场基础。据中国电子专用设备工业协会统计,2005-2010年,我国电子整机装联设备制造行业的市场规模从103.2亿元增长到265.5亿元,年复合增长率为20.8%。现在,随着我国信息化与工业化融合的深入,产品智能化、自动化和柔性化趋势发展,电子产品无铅化、新型封装技术需求等,又为电子整机装联设备行业提供稳定的传统市场需求以及更新换代需求,从而带来新的市场增长空间。三、电子整机装联设备行业竞争格局20世纪90年代末以前,国内的电子整机装联设备,从丝印机、贴片机、波峰焊/回流焊、ICT/ATE,一直到X-Ray/AOI,完全依赖国外进口。直到我国成为全球最重要的电子信息产品生产基地之后,庞大的加工制造能力才为国内电子整机装联设备的技术发展创造了有利的环境。当时有一批领先企业,如快克股份、劲拓股份等通过模仿、吸收、创新,不断提高自主研发能力,最终开始有了属于自己的国产品牌。目前,电子整机装联设备行业已经形成了一个金字塔式的竞争格局。具体分布为:高端市场:以电子整机装联机器人等自动化精密产品为主,主要参与者一般为国际巨头,如日本TSUTSUMI、APOLLO、UNIX等,但我国少数企业(快克股份)也有一定份额。市场竞争集中在精度、速度、稳定性性能,装联综合解决方案的服务能力,客户需求响应能力等方面,利润率水平相对较高。中端市场:以中低端锡焊机器人、智能装联工具及设备为主,主要参与者有国际工具厂商(日本HAKKO、美国OKI、德国WELLER以及国内具备自主品牌的设备厂商(快克股份、劲拓股份、安泰信等)。市场竞争集中在产品质量稳定性及性价比方面,利润率水平中等且比较稳定。低端市场:以简单电子整机装联工具为主,市场主要参与者为小型国内加工制造企业,一般无自主品牌,客户多为小型电子作坊工厂,竞争集中在价格竞争,利润率水平低。快克股份(603203):电子整机装联锡焊技术引领者。前身可追溯至1998年的快克设备厂,公司2006年成立,主营业务为以锡焊技术为核心的电子整机装联专用设备的研发、生产和销售。多年以来积累了如富士康、和联永硕、公牛、歌尔集团、比亚迪、台达集团等下游精密电子制造业知名客户。这些客户细分行业横跨EMS、汽车电子、医疗电子、金融电子等。产品主要有专用工业机器人及自动化智能装备(46%收入比重)、智能锡焊台等小型设备(35%收入比重),毛利率基本维持在50%以上。劲拓股份(300400):电子焊接设备龙头。公司成立于2004年,主要从事高端专用装备的研发、生产、销售和服务,主要产品按大类可以划分为电子整机装联设备、光电模组生产专用设备以及航空专用制造设备等。其中光电模组生产专用设备是2016年依下游客户需求,对原有产品线进行延伸拓展的,光电模组生产专用设备主要是光电平板(TP/LCD/OLED)显示模组等。下游客户有杰特环球、厦门丞耘、深南电路、伟创力、惠州西文思电子等。产品主要有电子焊接类设备(60%的收入比重)、智能机器视觉检测设备、3D贴合设备、摄像头模组生产设备、生物识别模组生产设备、显示屏模组封装设备、3D玻璃设备等,毛利率基本维持在30%-40%之间。(文章来源于:解析投资)

愤怒之

半导体设备行业深度报告:国产突破正加速,迎来中长期投资机会

如需报告请登录【未来智库】。一、关键制程设备本土均有突破,晶圆产线建设驱动国产替代1.1 本土晶圆制造环节能力逐步提升,大力布局存储/代工/特色工艺等领域芯片制造能力是实现国家集成电路乃至信息产业自主可控的关键,晶圆制造和封测,以及上游配套的 设备与材料是基础。目前国家集成电路产业基金一期撬动各地方政府总投资约 5000 亿元,支持集成电路 各环节发展,其中晶圆制造和封测产线是重点,而二期也已正式开始,预计带动万亿资本,届时存储、先 进制程等晶圆线将继续重点投入,此外将加大设备材料环节扶持力度。根据现有规划,2017-2020 年全球 新建晶圆线中约 45%位于中国大陆,与晶圆制造相配套的上下游环节,本土厂商将迎来替代与发展良机。晶圆代工产能方面,2017 年全球代工营收约 623 亿美金,中芯国际、华虹半导体等本土晶圆代工企 业营收约 50 亿美金,全球代工产值占比约 8%,代工份额提升空间巨大。随着新建产线产能释放,未来三 年大陆晶圆制造产能将迅速提升,大陆本土公司晶圆制造产值有望增至 2022 年的 145 亿美金,复合增速 高达 20%,高于同期大陆 IC 制造总产值(涵盖非本土厂商)的 13%复合增速。晶圆制程方面,本土代工在 45nm/40nm 以上成熟制程已具备实力,28nm 以下的先进制程正努力缩 小差距。中芯国际的 28nm 制程 17Q4 量产,14nm 在 2019Q3 量产,12nm 开始客户导入。华力微 19 年底 突破 28nm HKC+工艺,2020 年将量产 14nm。就 14 纳米而言,中芯国际与台积电/三星/GF 差距逐渐缩小。 在先进制程上,目前台积电 7nm 芯片占营收 35%,而 5nm 预计在 2020 年达到 10%,三星 7nm 已在 19Q4 量产,5nm 预计今年量产;综合考虑市场需求与性价比,GF 与联电放弃 10nm 以下,专攻 14nm/12nm 制 程。中芯等国内代工企业在 28nm 及以上制程技术较成熟,有望争夺市场份额,14nm 制程有望逐渐上量。存储是新线重点,投资占比高达 65%,其中本土存储占比 48%(紫光/长江存储/合肥睿力/福建晋华), 非本土(Intel/三星/海力士)为 17%。而中芯/华力微/芯恩/粤芯等本土代工投资占比为 17%,台积电/联电/GF 等非本土代工占比 11%,剩余 7%为士兰微、华润微、积塔等功率器件/特色工艺等。其中 96%为 12 寸 线建设,功率器件等相关的 8 寸线占比仅 4%。规划涉及设备投资总额约 8800 亿元,根据建设进度预计设 备订单释放时间,对应 2017-2021 年每年半导体设备需求约 769、1551、1504、1719、1427 亿元。1.2 半导体设备亟需国产化率提升,晶圆产线建设驱动本土配套机遇2019年全球半导体设备市场约576亿美金,大陆地区约130亿美金,占比约22.4%,仅次于台湾的27%, 高于韩国的 18%。2019 年泛半导体设备国产率约 16%,IC 设备国产化率约 5%,其中大陆进口半导体设备 中,金额占比最高的为镀膜设备,占比 32%(化学气相沉积 23%+物理气相沉积 9%),其次是刻蚀设备 18%, 其次是引线键合机 12%,剩下氧化扩散炉、光刻机、离子注入机、化学抛光机等设备占比约 10%、9%、4%、 4%。镀膜与刻蚀两环节设备合计约 50%,是国产替代的主力领域,相关公司有望从中受益。从晶圆线投资额细分看,半导体设备投资占产线总投资的 75%-80%。而设备投资中,晶圆制造环节占 比约 80%,封装环节占比约 6%,测试环节占比约 9%。晶圆制造相关设备中,光刻/镀膜/刻蚀等环节占比 较高,分别为 24%、20%、16%,而离子注入、工艺检测、晶圆加工其他占比为 4%、8%、8%。考虑市场空 间及技术成熟度,刻蚀/镀膜环节国内厂商替代潜力较大,国内刻蚀设备龙头、中微公司有望份额提升。随着大陆晶圆产线建设,本土晶圆代工产能有望提升 3 倍,大陆晶圆制造商的市占率攀升,最终将带 动本土上游配套的设备企业“共生增长”。以日本为例,统计制造业和设备业两者市场份额变化,两者的相 关性非常高。随着日本制造企业在全球产业链崛起,日本设备企业由于本土化优势,享受配套机遇。大陆 本土设备厂商也有望复制同等上升路径。1.3 关键制程设备本土均有布局, 优势环节份额提升,劣势环节逐渐突破全球半导体设备市场集中度较高,Top5 设备厂商合计份额约 80%。2019 年前五设备厂商营收分别为 应用材料(AMAT)135 亿、阿斯麦(ASML)128 亿、东京电子(TEL)96 亿、泛林(LAM)95 亿、科磊(KLA) 47 亿美金,对应市场份额为 21%、20%、15%、15%、7%。ASML 产品较为单一,几乎垄断了光刻机市场, 而 AMAT 则是在刻蚀、PVD/CVD 镀膜、热处理、离子注入、CMP 等多环节市占率领先,产品线最为丰富。半导体设备主要分为晶圆前道设备和后道封测设备,部分环节国产化率提升明显。其中晶圆前道设备 中,刻蚀机(140 亿美金,占比 25%)、 CVD/PVD/ALD 镀膜机(125 亿美金,23%)、光刻机(125 亿美金, 20%)为市场规模前三大设备种类,随后是涂胶显影+去胶(25 亿美金,4%),热处理(18 亿美金,3%), 离子注入(16 亿美金,3%), CMP(20 亿美金,4%),清洗(30 亿美金,6%)、量测设备(60 亿美金,10%)。 而后道封测主要设备包括测试机(30 亿美金,6%),探针台(10 亿美金,2%),分选机(9 亿美金,2%)。 其中,本土产线设备需求的国产化情况看,晶圆前道环节的刻蚀(20%)、PVD(10%-15%)、热处理(15%-20%)、 清洗(20%)、 CMP(15%)、去胶设备(80%)等相对其他环节的国产化率较高,均高于 15%;而光刻机、 涂胶显影、CVD、ALD、离子注入、量测设备均不足 5%。后道封测环节的测试机、分选机、探针台的本土 配套率也不足 5%。大基金一期对设备材料投资占比仅 4%,有重点对部分环节的优质设备公司进行支持,二期预计将加 大对半导体设备的扶持范围和力度。其中,晶圆前道设备,对刻蚀、镀膜、清洗、离子注入、量测环节相 关的北方华创、中微公司、沈阳拓荆、盛美半导体、万业企业(凯世通)、上海精测、上海励睿进行了股 权投资,截止目前持股比例分别为 10.03%、17.45%、35.3%、4.58%(普通股)、7%、15.4%、12.12%。后 道封测设备,持有测试分选环节的长川科技 9.85%股份。截止目前,光刻相关的光刻机、涂胶显影、去胶 设备环节大基金目前尚未入股,上海微电子、沈阳芯源、屹唐等有望后续得到支持。其余清洗、测试环节 的至纯科技、华峰测控等优质标的也有望迎来机会。从技术水平来看,刻蚀、镀膜、清洗设备的国产化水平已接近国际主流厂商,在先进的 28/14nm 晶圆 代工产线和 3D NAND 等存储产线批量应用,中微的介质刻蚀机更是进入台积电的 7nm/5nm 产线。而光刻、 离子注入、量测设备相对较国际主流水平有一定差距。国产光刻机目前最高到 90nm 制程节点,在功率等特色工艺线上有所突破;离子注入主要在光伏及 45-22nm 低能大束流方面取得突破;量测设备主要集中在 膜厚等关键尺寸测量上。而其他封测设备如探针台、测试机、分选机等在数字芯片等先进应用上仍有差距。对于半导体设备国产化的机会,我们认为突破容易程度为:(1)产品上,功率器件>数字模拟器件>逻 辑芯片; (2)制程上,特色工艺>成熟制程(28nm 及以上)>先进制程; (3)尺寸上,4-6 寸>8 寸> 12 寸。从本土晶圆线最新设备招标数据看,2019 年度,8 英寸特色工艺为代表的积塔半导体国产化设备采购 率为 34%,高于华虹无锡的 12 寸成熟工艺线(90-65/55nm)的 27%国产化率,更高于华力微的 12 寸先进制程(90-65/55nm)产线的 9%。而 2019 年由于长江存储处于一期量产关键阶段,整体依然以存储制程突 破为主,设备国产化率较低为 7%,2020 年扩产时的新采购设备其国产化率大幅提升,提升至 15.7%。具体设备环节来看,刻蚀、镀膜、氧化扩散热处理、清洗等环节相对国产化率较高,基本上超过 15%; 光刻、离子注入、过程工艺、测试等设备国产化率较低,不超过 5%;上海微电子在积塔 8 寸特色产线取 得光刻设备供应的突破。随着长江存储的工艺成熟度提升,3D NAND 产线的设备采购国产化率从 2017 年 的 2.2%,2018 年 9.6%,2019 年 7.2%,提升至 2020 年的 15.7%。其中,刻蚀设备、清洗设备、研磨抛光 设备、氧化扩散设备等国产化率这几年来显著提升。大力发展晶圆产线给本土设备企业带来配套机会。先进/成熟制程产线包括:中芯北方(12 寸 28nm)、 中芯南方(12 寸 14nm),华力集成二期(12 寸 28/14nm);华虹无锡(12 寸 90-65nm),士兰微厦门(12 寸 90nm), 晶合集成(12 寸 180-55nm)、万国重庆(12 寸 90nm)、 粤芯和青岛芯恩(12 寸 180-130nm)。特色工艺线:中芯国际绍兴/宁波/天津(8 寸)、士兰微(8 寸)、积塔上海(8 寸)、燕东微北京(8 寸)等。8 英寸晶圆代工涉及功率、模拟、CIS、电源管理等产品,下游需求稳健,叠加 8 寸产能有限,本土企业在特色工艺具备竞争力,有望迎来市占率提升,带动特色工艺相关设备的营收提升。存储产线:长江存储、合肥睿力在 2020 年进入产能爬坡期,带动设备需求大增。19 Q4 长江存储产能 在 2 万片/月,2020 年底扩产至 5 万片/月,2021 年底到 10 万片/月,2023 年扩产至 30 万片/月。合肥睿 力一期产能 12 万片/月,目前 2 万片/月,2020 到 4 万片/月,后续将视情况进行扩产计划。此外,紫光集 团在重庆计划设立 DRAM 产线,在南京/成都设立存储基地等。存储作为大类标准品,对成本敏感,定制 化需求较少,对设备要求相对数字逻辑芯片较低,本土存储企业长期规划清晰,设备企业有望持续受益。国内半导体设备厂商在各自优势环节逐渐突破本土不同类型的晶圆产线。中微公司的介质刻蚀机在华 力微、华虹无锡、长江存储份额维持在 15%左右;北方华创的氧化/扩散/热处理设备在存储产线份额达 32%, 在华力微和华虹无锡分别为 6.3%和 3.8%,而刻蚀和薄膜沉积相对份额较小;盛美半导体的清洗设备份额 稳定,在三条晶圆线分别维持在 14%-18%;华海清科的 CMP 设备份额在华虹无锡和长江存储分别为 22.2% 和 14.9%,在华力微为 9.1%;其他屹唐、沈阳拓荆、沈阳芯源、北京中科信、上海睿励、上海精测在热处 理设备、镀膜设备、涂胶显影设备、离子注入、膜厚测量等设备上占据一定份额,提升空间较大。本土半导体设备厂商在光刻、刻蚀、镀膜、氧化扩散、清洗、抛光、离子注入等环节基本实现全覆盖。越来越多的上市公司以及非上市公司发力半导体设备领域。其中,涉及到曝光/光刻企业有 4 家,涂胶显影 有 10 家, CVD 企业 9 家, PVD 企业 6 家,其他成膜设备企业 6 家,干法刻蚀设备企业有 10 家,湿法腐 蚀设备企业 12 家,热处理设备企业 8 家,CMP 设备厂商仅有 2 家,离子注入设备厂商也仅有 2 家,计量 检测设备厂商 10 家,仓储搬运设备 4 家。随着政策与资金支持,在本土晶圆新建对设备需求带动下,越 来越多的设备公司有望发展壮大。二、晶圆制造设备部分环节具备竞争力,其余环节已有份额突破2.1 光刻环节:本土已有初步突破,距国际水平仍有较大差距2.1.1 光刻机设备:封装/LED 光刻机相对成熟,IC 前道/面板光刻机仍有差距IC 前道晶圆制造为集成电路产业链的核心环节,晶圆制造包括光刻、刻蚀、离子注入、薄膜生长、扩 散、抛光、退火、检测等主要环节,其中光刻环节是其核心。光刻机是一种将预先设计好的芯片图形转印 至硅片或者其他材质基底上的大型精密量产型加工制造设备。光刻机光刻或者曝光精度直接决定了整个半 导体制造工艺的节点,其昂贵的价格也导致光刻机是整个半导体制造产线投资最主要的组成部分。在实际的光刻工艺过程中,既要保证纳米级的曝光精度,同时也要保证高的产率以实现其足够的经济 性,光刻机设备会十分复杂,其分系统和部件制造的工程实现难度极高。典型光刻机设备包括:超低像差 投影物镜系统、高精度匀光曝光系统、精密镜像扫描或步进掩膜台系统、高速硅片传输系统、纳米级对准 系统、精密调焦调平系统、大负载高精度高速工件台系统、亚纳米双频激光干涉位移测量系统、主动隔振 系统、精密温度控制系统和分布式多级复杂整机控制系统。按照应用领域,可分为 IC 前道晶圆制造、IC 后道先进封装、平板显示和高亮度 LED 等领域。其中,IC 前后道晶圆制造同属于集成电路领域,不管是遵 循摩尔定律还是超越摩尔,IC 前道光刻设备分辨率以及 IC 后道先进封装技术的提升都依赖光刻精度。IC 晶圆前道制造光刻流程包括:先放置在掩膜板库里,通过掩膜传输系统放置在掩膜台,晶圆通过硅 片传输系统传入工件台,调焦调平系统对硅片进行调焦调平,对准系统对硅片对准,然后工件台、掩膜台、 曝光系统在整机控制系统的控制下完成整个晶圆的扫描或者步进式曝光,曝光完成后由硅片传输系统传出。随着分辨率需求不断微缩,根据所使用的光源的改进,光刻机经历了 5 代产品的发展。光刻机主要指 标为分辨率、套刻精度和产率,未来的发展趋势将主要围绕以上三个指标。照明波长不断变短、物镜的数 值孔径不断变大,使得光刻机的分辨力能力不断提高,当前 EUV 分辨率达到 13nm,通过多重曝光能够实 现 7nm 工艺制造,并向 5nm 和 3nm 技术节点前进。同时,套刻精度随着分辨率不断提高,产率不断优化, 使得芯片整体生产成本持续降低。全球光刻机市场来看,ASML 一家独大。其光刻机出货量占据全球 70%以上份额,尤其在 EUV 光刻机、 ArF 浸没式光刻机领域基本上处于垄断地位;Canon 和 Nikon 的光刻机出货量份额合计约 30%,主要集中在 平板显示领域,在 I line 和 KrF、ArF 光刻机占据一定份额;而美国 Ultratech 主要是后道封装和 LED 封装光 刻机为主,封装和 LED 光刻机的单台价值量相对晶圆前道、平板显示光刻机较低,竞争壁垒也相对较低。ASML 所生产的 EUV 光刻机能够满足 5nm/7nm 工艺制程。根据 ASML 年报披露, 其 2015 年至 2017 年 IC 前道晶圆制造光刻机销售台数分别为 169 台、157 台和 198 台,在 IC 前道制造领 域处于绝对垄断地位。2019 年 ASML 交付 26 台 EUV 光刻机,远超 18 年的 18 台,预计 2020 年交付 35 台 EUV 光刻机,2021 年则会达到 45-50 台的交付量,是 2019 年的两倍左右,单台售价超过 1 亿美金。不同光刻领域布局看,ASML、Nikon、Canon 以及大陆上海微电子四家企业具备晶圆前道能力。而在 后道封装/LED 光刻上,上海微电子、奥地利 EVG、德国 SUSS、美国 Veeco、美国鲁道夫等有产品线覆盖, 而本土除上海微外还有中电科 48 所、中电科 45 所等参与晶圆前道光刻机研发。本土其他与光刻相关厂商主要集中在掩膜对准、电子束曝光、激光直写等环节,包括影速光电、金盛微纳、新诺科技、合肥芯碁等。 核心光刻机曝光系统部件的大陆涉及单位包括国望光学、长春国科精密光学、上海/长春光机所等,光刻双工件台大陆厂商有北京华卓精科,是继 ASML 后成为世界上第二家掌握双工件台核心技术的公司。随着先进制程从10nm往5nm/3nm节点演进,逻辑芯片晶圆制造中光刻价值占比从25%提升至35%+, 提升较明显。DRAM 从 1X 往 1A 演进,也将带动光刻价值占比从 24%提升至 27%。设备端看,EUV 和 ArFi 光刻机占比约 8 成,其中 EUV 光刻占比提升显著,国内厂商有望从 ArF 和 KrF、I-Line 光刻机等逐步切入。2.1.2 涂胶显影设备:整体国产化率不足 5%,芯源微/盛美国内占据一定市场光刻工艺流程为:脱水烘烤→旋转涂胶→软烘→曝光→曝光后烘烤→显影→坚膜烘烤→显影检查。各 步骤如下:(1)旋转涂胶:通过旋转方式在硅片涂上液相光刻胶材料;(2)软烘:涂胶后,对硅片进行软 烘,除去光刻胶中残余的溶剂,提高光刻胶的粘附性和均匀性;(3)曝光:使用紫外光照射,未受掩膜遮 挡部分的光刻胶发生曝光反应,实现电路图从掩膜到硅片上的转移;(4)曝光后烘烤:以一定温度烘烤曝 光后的硅片,目的是降低驻波效应的影响以及使化学反应更充分;(5)显影:使用化学显影液溶解由曝光 造成的光刻胶可溶解区域,使可见图形出现在硅片,并区分需要刻蚀的区域和受光刻胶保护的区域;(6) 坚膜:对显影后的光刻胶加热烘干,促使光刻胶与硅片粘着牢固,提高其强度;(7)显影检查:区分那些 有很低可能性通过最终掩膜检验的晶圆,提供工艺性能和工艺控制数据及分拣出需要重做的晶圆。涂胶显影设备是光刻工序中与光刻机配套使用的涂胶、烘烤及显影设备,包括涂胶机(又称涂布机、 匀胶机)、喷胶机和显影机。在早期的集成电路和较低端的半导体制造工艺中,此类设备往往单独使用(Off Line)。随着集成电路制造工艺自动化程度及客户对产能要求的不断提升,在 200mm 及以上的大型生产线 上,此类设备一般都与光刻设备联机作业(In Line),组成配套的圆片处理与光刻生产线,与光刻机配合 完成精细的光刻工艺流程。按工艺环节不同,涂胶显影设备可分为前道涂胶显影设备和后道涂胶显影设备。涂胶显影设备完成晶 圆的光刻胶涂覆、固化、显影、坚膜等工艺过程,直接影响到光刻工序细微曝光图案的形成,显影工艺的 图形质量对后续蚀刻和离子注入等工艺中图形转移的结果也有着深刻的影响。按照工艺环节不同,涂胶显 影设备可分为前道涂胶显影设备(晶圆加工)和后道涂胶显影设备(封装测试)。前道涂胶显影设备与光 刻工艺相关,光刻工艺可分为 g-line、i-lina、KrFi(沉浸式)、ArF、ArFi(沉浸式)和 EUV 等,ArF 和 KrF 涂胶显影设备占比较高,例如长江存储产线招标以 ArF 和 KrF 为主。后道涂胶显影设备与封装形式有 关,传统封装形式有 SIP(单列直插)、DIP、SOT、TO 等,先进封装有 FC、WLP、2.5D 和 3D 封装等。涂胶显影机市场规模约 23 亿美元,EUV 成为未来几年市场增长的主要驱动力。涂胶显影设备市场规 模自 2013 年以来逐步增长,2018 年达 23 亿美元(其中,中国大陆和台湾地区合计为 9 亿美元),同比增 长 28%,2013-2018 年 CAGR 达 11%。VLSI 预计 2023 年涂胶显影设备市场规模将达到 25 亿美元,TEL 预计 2022 年达到 25 亿美元。从工艺看,ArF 和 KrF 占有涂胶显影设备市场约 50%的份额,市场相对稳定,未来 几年随着 EUV 工艺渗透,EUV 涂胶显影设备将成为主要驱动力。竞争格局方面,TEL 在全球占有绝对主导,芯源微在国内占有小部分市场。从全球市场来看,TEL 占 有 88%份额,其余厂商包括 Screen(5%)和 SEMES(6%)。而在中国市场,TEL 市场份额更高达 92%,其 余厂商如 Screen(1%)、 CANON(2%)、芯源微(3%)和盛美(2%)等份较低(盛美可生产用于 WLP 的半 导体涂层系统) 。分工艺环节看,前道设备方面,TEL 和 Screen 产品可覆盖所有制程,国内厂商尚未掌握 28nm 以下先进节点的 ArFi 沉浸式涂胶显影设备,产品在产能、平均故障时间、胶膜涂敷均匀度、显影精 细度、温控热处理精密度、工艺适应性等方面较弱;后道设备方面,芯源微技术水平与国外厂商接近,产 品在产能、平均故障时间、显影精细度、温控热处理精密度等方面持平,在胶膜涂敷均匀度方面部分弱于 国外厂商。2.1.3 去胶设备:屹唐占据国内去胶机较大份额,芯源微/中电 45 所也在突破去胶机:在半导体制造工艺中,光刻胶只是起到图形转移的媒介作用,在完成图形转移后,需要将光 刻胶完全去除,以避免残留的光刻胶影响后续工艺质量。去胶机主要用于圆片刻蚀后其表面作为阻挡层的 光刻胶的去除,适用于 50-300mm 圆片的处理。去胶分为干法去胶和湿法去胶。干法去胶主要采用等离子体,利用氧等离子活性单原子氧与光刻胶反 应,生成易挥发物,达到去胶的目的。干法去胶适用于大部分工艺,去胶效率高、可靠性高、容易自动化, 但是残留物容易导致表面缺陷。湿法去胶采用有机溶剂和无机溶剂,利用溶解或化学反应等方法去胶。湿 法去胶不同溶剂有不同局限,一般作为干法去胶的补充,优点是工艺简单、去胶干净,缺点是去胶速度慢、 去胶液成本高且污染环境。屹唐半导体占有全球去胶机市场 11%份额,国内市场自给率达 81%。全球去胶机整体市场约 5 亿美 金,占半导体设备市场约 1%,主要供应商包括 PSK、泛林半导体、Hitach、屹唐半导体、Ulvac 等,前五 大厂商占据 97%的市场份额,市场竞争相对集中。从国内部分晶圆产线招标情况看,屹唐半导体在国内占 主导地位,市占率达 81%,国产化率较高,泛林半导体和 Screen 分别占 17%和 2%。此外,国内厂商芯源 微和中电科 45 所也可生产去胶机。2.2 刻蚀环节:中微和北方华创具备竞争力,份额有望持续提升刻蚀(Etch)是 IC 制造中相当重要的工艺,成本仅次于光刻(刻蚀 20%,光刻 30%),与光刻相联 系的图形化处理。刻蚀,狭义上就是光刻腐蚀,先通过光刻将光刻胶进行光刻曝光处理,然后通过其它方 式实现腐蚀处理掉所需除去的部分。刻蚀是用化学或物理方法有选择地从硅片表面去除不需要的材料的过 程,其基本目标是在涂胶的硅片上正确地复制掩模图形。按照原理不同,刻蚀可分为干法和湿法两种,其中干法刻蚀工艺占比 90%以上。干法刻蚀是用等离子 体进行薄膜刻蚀的技术,湿法刻蚀是将刻蚀材料浸泡在腐蚀液内进行腐蚀的技术,湿法刻蚀由于需要大量 对人体和环境有害的腐蚀性化学试剂,逐步被干法刻蚀替代。按被材料不同,刻蚀可分为硅刻蚀、介质刻蚀和金属刻蚀等,其市场占比分别为 47%、48%和 3%。介质刻蚀是用于介质材料的刻蚀,如二氧化硅,接触孔和通孔结构的制作需要刻蚀介质,而具有高深宽比 (窗口的深与宽的比值)的窗口刻蚀具有一定的挑战性。硅刻蚀应用于需要去除硅的场合,如刻蚀多晶硅 晶体管栅和硅槽电容。金属刻蚀主要是在金属层上去掉铝合金复合层,制作出互连线。根据等离子体产生和控制技术的不同,可分为电容耦合等离子体(CCP)刻蚀机和电感耦合等离子体(ICP)刻蚀机。CCP 刻蚀利用电容耦合产生等离子体,一般用于介质刻蚀,涵盖逻辑芯片栅侧墙和硬掩 膜刻蚀、中段的接触孔刻蚀、后段的镶嵌式和铝垫刻蚀、3D NAND 的深槽、深孔和连线接触空的刻蚀等; 而 ICP 刻蚀利用电感线圈耦合产生等离子体,一般用于硅刻蚀和金属刻蚀,涵盖硅浅沟槽,锗、多晶硅栅、 金属栅、应变硅、金属导线、金属 pad、镶嵌式刻蚀金属硬掩膜和多重成像。随着集成电路制造线宽不断缩小、芯片结构 3D 化,互连层数增多,带动刻蚀和镀膜需求增多,从 2013 年之后,刻蚀设备在产线中价值占比显著提升。目前,全球刻蚀设备市场以介质刻蚀和硅刻蚀为主,分别 占比合约 48%和 47%,而金属刻蚀仅 3%,这与 2010 年后整个集成电路工艺从铝互连(刻蚀铝金属)转向 铜互联(刻蚀介质)有关,金属刻蚀与介质刻蚀此消彼长。先进制程及芯片微缩带动设备需求,刻蚀行业迎增量。受限于 193nm 的浸没式光刻机限制,晶圆制造 向 7 纳米、5 纳米以及更先进的工艺发展,除了采用昂贵的 EUV 光刻机之外,14nm 及以下的芯片制造很 多都通过多重模板效应来实现制程微缩,刻蚀加工步骤增多。10nm 制程是关键节点,相较于 14nm,其刻 蚀步骤为 115 步,增加 77%,到 5nm 制程刻蚀步骤将是 14nm 的 2.5 倍及以上。全球刻蚀设备市场规模目前约 75 亿美金,2025 年有望增至 140 亿美金左右,年复合增长率为 11%,行业规模稳健增长。全球范围看,刻蚀设备市场呈现三家独大局面,泛林半导体占据半壁江山。根据 TEL,泛林半导体市 场份额约 55%,东京电子其次,市占率约 20%,应用材料与东京电子相当,市占率约 19%。分设备类型看, 介质刻蚀设备领域全球巨头为东京电子,17 年市占率 52.4%,泛林位半导体居第二,17 年市占率为 40.1%, 两家独大。介质刻蚀是国内厂商中微公司的优势领域,主要采用 CCP 刻蚀,其 2017 年全球市占率为 2.5%, 18年预计在5%左右。干法刻蚀设备方面,2017年泛林半导体市占率为47.7%,位居第一,东京电子为26.6%, 位居第二,应用材料为 18.7%,位居第三,中微半导体刻蚀业务整体市占率约 0.6%。整体来看,国内刻蚀机国产化率达到 18%,中微公司和北方华创贡献较多。根据中芯国际、长江存储 和合肥睿力的招标情况看,从中微采购的刻蚀机台数占整体刻蚀机台采购比例约 15-20%,逼近东京电子 和应用材料,进步明显。按材料分,国内介质刻蚀设备市场中,中微占有 25%份额,国产化率在各类半导 体设备中属于较高水平;而在硅刻蚀设备市场中,北方华创占有 15%市场份额。相比国际大厂,国产刻蚀设备品类还不够完整,针对不同工艺的刻蚀设备逐步验证和量产。中微国际 大厂泛林半导体和应用材料可实现 CCP 和 ICP、介质/硅/金属刻蚀、7nm 及以上的全方位覆盖,中微公司 和北方华创尚未实现全覆盖。中微介质刻蚀设备较强,已经量产,客户包括台积电、三星、中芯国际等国 内外大厂,其用于多晶硅栅工艺的硅刻蚀设备正在验证中。北方华创在介质刻蚀和硅刻蚀设备均由布局, 用于浅槽隔离的介质刻蚀设备已量产,硅刻蚀设备也已量产,金属刻蚀设备也有布局,拥有中芯国际、华 虹、华力等国内一流客户。2.3 镀膜环节:国内厂商布局全面初具实力,PVD 和 MOCVD 水平领先薄膜生长是指采用物理或化学是物质附着于衬底材料表面的过程。根据工作原理的不同,薄膜沉积可 分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。薄膜生长需要兼顾薄膜的性能和成本, 生长速率、均匀性、薄膜应力控制、微粒杂质控制等均为薄膜生长工艺的关键指标。薄膜生长工艺广泛应 用于逻辑电路、存储器件、LED、功率器件、平板显示、MEMS 和先进封装等。PVD 可分为蒸镀和溅射两种。蒸镀分为热蒸镀和电子束蒸镀两种。PVD 早期以热蒸镀为主,原理是在 真空中热蒸发金属材料使其沉积到衬底表面,缺点是难以满足某些难熔金属和氧化物的需要,沉积速率较 慢,合金比例难以控制,台阶覆盖不好,目前较少用于 IC 制程。而电子束蒸镀是指电子在电场加速下轰击 阳极的蒸发材料,使之受热气化,沉积到衬底表面,具备薄膜均匀性好,台阶覆盖好,合金比例控制较好, 产出较高等优点,主要用在一些中低阶的工艺产线。随着晶圆尺寸增大,溅射技术逐步取代真空蒸镀,原理是利用磁场将高能粒子撞击高纯度靶材料原子, 被撞击出的原子沉积到衬底表面。磁控溅射可提高等离子体密度,对靶材形成更多的溅射并降低衬底温度, 可提高台阶覆盖率,非常好地控制合金比例,产出效率高,广泛应用于 IC 先进工艺的金属化制程。3)外延:在单晶衬底上生长一层跟衬底具有相同晶格排列的单晶材料,外延层可以是同质外延层(Si/Si), 也可以是异质外延层(SiGe/Si 或 SiC/Si 等)。外延工艺包括分子束外延(MBE)、气相外延(VPE)、液相外延、 化学束外延、离子团束外延、低能离子束外延和金属有机化学气相沉积(MOCVD)等。MOCVD 是化合物半导体材料制备的关键设备。在 MOCVD 中,超纯气体被注入反应器中并精细计量以 将非常薄的原子层沉积到半导体晶片上,形成材料和化合物半导体的外延。不同于传统的硅半导体,化合 物半导体包含有 III 族和 V 族、II 族和 VI 族、IV 族、V 和 VI 族元素,是目前化合物半导体制备的关键设备, 应用于半导体器件、光学器件、气敏元件、超导薄膜材料、铁电/铁磁薄膜、高介电材料等多种薄膜材料。薄膜生长设备市场稳定增长,整体市场规模约 124 亿美元。CVD 设备方面,根据 Trendforce,2017 年 全球 CVD 设备市场规模约 84 亿美元,到 2023 年将增长至 89 亿美元。CVD 下游需求如微电子元件、数据 存储、太阳能产品、切割工具和医疗设备等,其中微电子元件和数据存储分别占比 38%和 24%。PVD 设备方面,按照 Garter 对 CVD、PVD 和外延设备产值的统计,测算 PVD 设备 2019 年市场规模约 29 亿美元,下游需求以微电子元件和数据存储为主,分别占比 38%和 23%,随着工艺节点往先进制程推进, 带动金属互联层数增,对 PVD 需求也在增加。外延设备方面,根据 Yole,外延设备市场规模约 9.4 亿美元,预计 2023 年超过 20 亿美元,其中约 70% 为 MOCVD 设备,金属应用于大多数 III-V 化合物半导体外延,例如砷化镓和氮化镓器件。外延设备需求增 长主要受 LED 和电源应用驱动,未来的增长动力主要为电源、激光器、MEMS、Mini/Micro LED 和射频器件。分设备看,等离子体 CVD 设备市场规模最大。根据 Gartner,等离子体 CVD 设备市场规模最大,占比 33%,溅射 PVD、管式 CVD、非管式 CVD 和 ALD 等设备占比分别为 19%、12%、11%和 11%。全球薄膜生 长设备市场主要被 AMAT、LAM 和 TEL 等厂商主导。CVD 设备方面,AMAT、LAM、TEL 和日立国际电气四 家厂商市占率达 84%。PVD 设备方面,应用材料遥遥领先,独占 74%的市场份额。其他薄膜生长设备方面, 应用材料和泛林半导体两家合计市占率达 53%,国内厂商中微半导体占有 7%的市场份额,主要生产 MOCVD。MOCVD 设备市场规模在 6-12 亿美元之间,中国是最大的需求市场。根据高工 LED,2015 年至 2017 年中国 MOCVD 设备保有量从 1222 台增至 1718 台,年均复合增长 18%;截止 2018 年,国内 MOCVD 保有 量达 1938 台。中国已成全球 MOCVD 设备最大的需求市场,设备保有量占全球比例已超 40%,据此估计全 球的MOCVD设备需求量在4300台以上。根据Technavio,全球MOCVD市场CAGR将在2021年之前达14%, 市场规模将从2016年的6.15亿美元增长至2021年的11.63亿美元,驱动力为LED显示背光和高功率器件。美国 Veeco、德国 AIXTRON、日本 NIPPON Sanso 和 Nissin Electric 是起步较早的 MOCVD 设备供应商, 由于日本对 MOCVD 设备实行出口限制政策,全球市场基本被 AIXTRON 和 Veeco 垄断,其市占率分别为 46% 和 27%,中微公司也占有 24%市占率,上升势头显著,其他涉足 MOCVD 设备的企业还有中晟光电等。薄膜沉积设备国产化率整体较低,PVD 设备较 CVD 设备国产化率高。以长江存储 30k 产能产线招标为 例,CVD 设备方面国产化率很低,仅沈阳拓荆中标 3 台 PECVD,占比 4%;PVD 设备方面,北方华创中标阻 挡层 PVD 3 台,占比 18%,,中标铝垫 PVD 3 台,占比 100%。而从华力集成、华虹无锡厂和积塔半导体产 线的设备招标情况看,薄膜沉积设备的国产化率分别为 6%、16%和 33%。整体来看,CVD 设备的国产化率 约为 2%-5%,PVD 设备的国产化率约为 10%-15%,国产化率仍比较低。国内厂商中,已有中微半导体、北方华创、沈阳拓荆和中晟光电等在细分领域可实现国产替代。(略)2.4 热处理环节:12 寸产线国产化率较低,6-8 寸线基本可实现自给在集成电路制造工艺中,扩散工艺是向硅材料掺杂的传统方法,工艺简单,扩散快,但浓度分布控制 困难;另一种方法是通过离子注入工艺将杂质离子注入圆片表层区域进行掺杂,重复性较好,可选择杂质种类多,掺杂剂量控制准确,但设备昂贵,存在注入损伤。两种方法各有利弊,有各自应用场景。1)扩散工艺:是向硅材料中引入杂质的传统方法,用于控制圆片衬底中主要载流子的类型、浓度和 分布区域,进而控制衬底的导电性和导电类型。传统的扩散设备主要为卧式扩散炉和立式扩散炉。与离子 注入工艺(低温工艺)不同,扩散工艺为高温工艺(热处理工艺),优点是扩散设备简单、设备成本低、 扩散速率快、掺杂浓度高等;缺点是扩散温度高,扩散浓度分布控制困难,难以实现选择性扩散。卧式扩散炉:是一种大量用于直径小于 200mm 的集成电路扩散工艺的热处理设备,特点是加热炉体、 反应管及承载晶圆的石英舟均成水平放置。优点是片间均匀性较好,广泛应用于分立器件、电力电子、光 电器件和光导纤维等行业的扩散、氧化、退火、合金等工艺;缺点是不能精确控制掺杂浓度的分布,因而 直径 200mm 以上晶圆的扩散工艺逐步被离子注入机取代,但仍少量用于重掺杂工艺。卧式扩散炉可装备 1-5 个工艺炉管,炉管越多,产能越大,超净间的利用效率越高。立式扩散炉:是一种用于直径 200mm和300mm的集成电路扩散工艺的热处理设备,特点是加热炉体、 反应管及承载晶圆的石英舟均成垂直放置。优点是片内均匀性好、自动化程度高、系统性能稳定,可满足 大规模集成电路生产线的需求,也常用于电力电子(如 IGBT)领域。立式扩散炉的核心技术主要集中在高 精度温度场控制、颗粒控制、微环境微氧控制、系统自动化控制、先进工艺控制及工厂自动化等,其技术 指标与卧式扩散炉差别不大。2)退火工艺及退火炉:用于氧化、扩散、外延、离子注入、蒸发电极等工艺完成后特定的退火热处理, 主要为了消除晶格缺陷、晶格损伤、除氧/杂质、清洗表面吸附物质、改善表面粗糙度等。退火可分为快速 退火、激光退火和传统炉式退火。传统的管式退火炉应用于小尺寸(直径<200mm)晶圆,立式退火炉和 单片退火炉用于大尺寸(直径≥200mm)晶圆。离子注入后的退火往往使用快速热处理设备进行快速退火。快速热处理(RTP):对离子注入后的晶圆进行快速热退火(RTP),即在非常短的时间内将整个晶圆加 热至某一温度(400-1300℃)。对比管式退火炉,具有热预算小、掺杂区域中杂质运动范围小、沾污小合加 工时间短等优点,可采用灯退火、激光退火等能量源,广泛应用于直径 300mm 的高端集成电路制造工艺 中。RTP 设备主要厂商由应用材料、Axcelis、Mattson 和 ASM 等公司垄断,市占率合计约 90%。3)氧化工艺及氧化炉:是将硅片放置于氧气或水汽等氧化剂氛围中高温热处理,在硅片表面发生化学 反应形成氧化膜,是应用最广泛的 IC 基础工艺之一。氧化膜的用途广泛,可作为离子注入的阻挡层及注入 穿透层(损伤缓冲层)、表面钝化、绝缘栅材料以及器件保护层、隔离层、器件结构的介质层等。氧化设 备包括氧化炉和高温氧化炉,高温氧化炉由于安全和高压系统污染等问题,应用在逐步减少。在晶圆直径小于 150mm 的 IC 制造邻域,国内扩散设备(卧式扩散炉)基本自给自足,相关厂商包括 北方华创、中电科 48 所等;而直径 300mm 的集成电路制造邻域,立式扩散炉/氧化炉设备依赖进口,主 要厂商有东京电子、日立国际等,国内仅北方华创可以小批量提供立式扩散炉/退火炉/氧化炉/合金炉。2.5 离子注入环节:光伏离子注入具备优势,IC 领域亟待发力离子注入机是极大规模集成电路制造工艺中最主要的掺杂设备。与传统热掺杂工艺相比,离子注入工 艺具有剂量均匀性与重复性较好,横向扩散较小的优点,并且它克服了热掺杂工艺的诸多限制,可以满足 浅结、低温和精确控制等要求,提高了集成电路掺杂工艺的质量,降低了成本和功耗。离子注入工艺是集成电路制造的主要工艺之一。它是指将离子束加速到一定能量(一般在 keV 到 MeV 之间),然后注入固体材料表层内,以改变材料表层物理性质的工艺。在集成电路工艺中,固体材料通常 是硅,而注入的杂质离子通常是硼离子、磷离子、砷离子、铟离子和锗离子等。注入的离子可以改变固体 材料表层电导率或形成 PN 结,当集成电路的特征尺寸缩小到亚微米后,离子注入工艺得到了广泛应用。按照电流大小或离子能量不同,离子注入机可分为中低电流离子注入机、大电流离子注入机和高能离 子注入机。中低电流离子注入机离子束电流小于 10mA,束流能量小于 180keV,适用于穿通注入;大电流 离子注入机离子束电流在 10mA-25mA 之间,束流能量小于 120keV,适用于超浅源漏区注入;高能离子注 入机束流能量在 200keV 至几个 MeV,适用于沟槽或厚氧化层注入,形成倒掺杂阱和埋层。大电流离子注 入机较为普遍,市场占比约 61%,其次为中低电流离子注入机(20%)和高能离子注入机(18%)。存储器(先进制程)和成熟工艺是离子注入使用的两大主要领域。存储器尤其是 DRAM 需要大量离子 注入步骤,占据约 44%离子注入设备需求,其中 NAND 需要 37 次离子注入步骤,而 DRAM 需要 55 次离子 注入环节。而成熟制程(28 纳米以上)的产品也占据 41%的离子注入设备需求,尤其是 8 寸晶圆线相关的 CIS、MEMS、模拟、功率、MCU 等产品,壁垒相对稍低,而且国内功率/模拟/CIS 等芯片厂商颇具实力, 未来随着芯片设计和制造份额提升,以上领域是离子注入国产配套机会最为确定的领域。美国 Eaton 公司和 Varian 公司曾是全球最大的离子注入机制造企业。2002 年,Axcelis 公司从 Eaton 剥 离出来独立上市;2011 年,应用材料耗资 49 亿美元收购了 Varian 公司。Axcelis 近年市场份额逐步提升, 主要与其不断开拓产品线,并且加码 DRAM 等存储环节有关。我们看好本土特色工艺产线、成熟制程产线、 存储产线上,本土离子注入机厂商的切入机会。离子注入机市场规模约 15 亿美元,全球市场主要被 AMAT 和 Axcelis 两家占据,合计份额达 88%。2018 年全球离子注入机市场规模达到约 15 亿美元,同比增长 12%,2016-2018 年保持两位数高增长。竞争格局 方面,美国的应用材料公司拥有 70%的市场占有率,美国 Axcelis 拥有约 18%的市场份额,其市占率逐年提 升,向应用材料靠近。日本的住友重机械也有离子注入机,工艺节点为 20-22nm,占有 8%份额。产线上低能大束流离子注入机占有较高比重,其行业高度集中。由于芯片制程微缩化,浅层掺杂需求 凸显,低能大束流设备成为主流,占比约 61%。例如,一条 NAND Flash 产线上,约有 37 台离子注入机, 其中 10 台高能量,20 台大束流,7 台中束流;一条 DRAM 产线上,约需要 55 台离子注入机,其中 3 台高 能量,40 台大束流,12 台中束流;一条逻辑芯片产线上,约需要 30-40 台离子注入机,其中约 25-30 台大 束流,5-10 台中束流。大束流离子注入机主要由三家龙头企业掌控。应用材料收购 Varian 公司成为龙头, 市占率达 40%;其次是 Axcelis,市占率达 32%;第三是 AIBT,市占率达 25%。前三家企业包揽了 97%以上 的市场份额,行业高度集中。从国内晶圆厂线的招标情况看,应用材料和 Axcelis 等仍占据主导,国产品牌渗透率较低。在国内膜 逻辑产线招标中,离子注入机以应用材料、Axcelis 和住友为主,国内仅中科信中标,占比 1%。而在长江 存储招标信息中,AMAT 和 Axcelis 占据离子注入机所有份额,国内没有厂商中标。国产设备中,北京中科 信和凯世通均有离子注入机产品,工艺制程与国际大厂仍有差距。2.6 CMP 环节:CMP 设备市场头部集中趋势明显,国产 CMP 设备有所突破化学机械抛光(CMP)工艺通过化学腐蚀和机械研磨的协同作用,细微地去除硅片表面材料,达到改 善硅片表面形貌质量,提高硅片表面平整度,是目前唯一可同时实现全局平坦化和局部平坦化的技术。CMP 设备可分为多片单面抛光机和多片双面抛光机两种。硅片制造商根据用户需求的不同,将直径小于 200mm 的硅片分为单面抛光机和双面抛光机两种。由于化学机械抛光是一道加工效率低、加工成本较高的工艺过 程,所以直径小于 200mm 的单面抛光片一般是在研磨片基础上对硅片的一个面抛光后形成的产品。制造 工艺上一般采用多片单面抛光机加工,即在一个抛光台上采用多抛光头同时抛光,以提高抛光效率,降低 生产成本。直径 300mm 的硅片主要为双面抛光片,一般采用双面抛光和单面抛光组合工艺。随着晶圆制程工艺发展,所需 CMP 次数增多,CMP 设备和 CMP 材料的需求也大幅提升。以存储器为 例,3D NAND 的平均 CMP 次数约等于 2D NAND 的两倍,其中针对非钨材料的 CMP 次数超过 60%(集成电 路中用大量的金属钨作为导线以传递电信号,这些金属钨需要抛光处理)。而在晶圆制造的整个环节中, 随着工艺制程从 250nm 微缩到 7nm,CMP 次数合计从 8 次增加到 30 次。全球CMP设备主要由美国应用材料和日本荏原主导。2018年全球CMP设备市场规模约18.42亿美元, 约占晶圆制造设备 4%的份额,其中大陆 CMP 设备市场规模达 4.59 亿美元,但 90%的高端 CMP 设备均依 赖于进口。根据 Gartner,2017 年,CMP 设备的供应商主要有应用材料、日本 Ebara(荏原)和东京精密。 2017 年应用材料 CMP 设备的销售额为 12.45 亿美元,市占率 71%,荏原销售额为 4.67 亿美元,市占率 27%。CMP 设备市场竞争向头部集中趋势明显,国产 CMP 设备有所突破。在 CMP 设备市场竞争激烈, CMP 设备厂商由 1997 年的 20 家逐渐集中在 2017 年的两家(应用材料和荏原),且 CMP 设备最大的供应商美 国应材的市场份额依然呈现逐年递增的态势。国内目前主要的 CMP 设备供应商为中电科和华海清科,在 长江存储最新的 30k 产能生产线设备招标中,华海清科在硅、二氧化硅材料 CMP 设备中标多台,国产化率 分别达到 33%和 33%,而铜和钨 CMP 设备无国内厂商中标。2.7 清洗环节:盛美/北方华创/至纯等多厂商布局,国产替代机会较大清洗:清洗分干法清洗和湿法清洗,这里讨论湿法清洗。湿法清洗是指针对不同的工艺需求,采用特 定的化学药液和去离子水,对晶圆表面进行无损伤清洗,以去除集成电路制造过程中的颗粒、自然氧化层、 有机物、金属污染、牺牲层、抛光残留物等物质。清洗设备主要为槽式晶圆清洗机和单片清洗机。晶圆清洗是晶圆制造中频率最高的工艺,占比达 33%,一个流程中需要多次清洗。根据盛美半导体, 一个完整的工艺流程包括:CMP→清洗→涂胶→光刻→刻蚀→清洗→沉积→清洗→离子注入→清洗→CMP, 该流程往往循环多次,清洗重复达 200 次。相比于干法清洗,湿法清洗更加高效,90%的清洗为湿法清洗。随着芯片制程不断萎缩,产线对清洗次数和清洗效果的要求不断提升。在过去的 25 年里,芯片制程 每提升一个节点,清洗步骤增加 15%,同时裸片的良率要求持续提升。根据盛美半导体,一条 10 万片/月 的 DRAM 产线,良率提升 1%可为厂商每年提高 3000-5000 万美元的利润。槽式晶圆清洗机:可同时对多盒晶圆进行清洗,可以做到晶圆干进干出。28nm 及更先进的湿法清洗 对晶圆表面小颗粒的数量及刻蚀均匀性的要求越来越高,槽式晶圆清洗机由于槽体内部化学药液的差异性、 干燥方式,以及与晶圆接触点过多,导致无法满足先进支撑的工艺需求,其在整个清洗流程中占约 20%的 步骤。目前,槽式晶圆清洗机主要由日本 Screen、东京电子和 JET 提供,合计约占 75%的市场份额,单台 售价 100-200 万,高端品牌如如 DNS 和 TEL 的可达 200-300 万美元;韩国 Semes 和 Kctech 也可提供此类设 备,但主要供给韩国;国内提供该设备的有北方华创和至纯科技。单片清洗设备:在颗粒大小及数量、速度及均一性、金属污染控制、表面粗糙度等方面优于槽式晶圆 清洗机,是 28nm 一下先进制程晶圆的主要清洗设备,应用于 80%的清洗步骤。单片清洗机可分为两类: 第一类为单片清洗机,其清洗目标物包括颗粒、有机物、自然氧化层、金属杂质等污染物;第二类为单晶 圆刷洗机,其主要用于去除晶圆表面颗粒。从价格看,8 腔设备 250-300 万美金。12 腔设备在 350-500 万 美金。目前,单片清洗设备主要由日本 Screen、东京电子和美国泛林半导体提供,合计占 70%以上的市场 份额,国内有盛美半导体、北方华创和至纯科技。清洗设备市场规模约 31 亿美元,日系厂商占主导。从市场空间看,根据盛美半导体和 TMR 的数据, 2018 年清洗设备市场规模约 31 亿美元,预计 2023 年可达 43 亿美元,2018-2023 年 CAGR=6.8%。从市场 竞争看,全球晶圆清洗设备市场主要由 Screen(DNS)、 TEL、KLA、泛林等厂商主导,前三名市占率分别为 54%、23%和 10%。根据 Gartner,Screen 是清洗设备的龙头厂商,在单片清洗设备市场,Screen 市占率高 达 55%,自动清洗台市场,Screen 市占率 50%以上,洗刷机市场,Screen 市占率高达 60%以上。国内厂商方面,盛美半导体、北方华创和至纯科技可生产槽式晶圆清洗机和单片清洗机。盛美半导体 处于领先地位,生产单片清洗机,在国内有比较深厚客户基础;芯源微侧重单片清洗机,北方华创和至纯 科技槽式和单片均有布局,三者均已获得国内大客户订单,营收规模尚小。(略)2.8 过程控制环节:国产化率相对较低,本土具备关键尺寸膜厚测量能力过程控制设备是应用于工艺过程中的测量类设备和缺陷检查类设备的统称。在芯片生产过程中,过程 控制设备要对经过每一道工艺的晶圆进行无损的定量测量和检查,以保证工艺的关键物理参数(如薄膜厚 度、电阻、掺杂浓度、线宽、沟/孔深度、侧壁角、缺陷等)满足工艺指标,发现可能出现的“致命”缺陷 并对其进行分类,剔除不合格的晶圆,避免后续工艺的浪费。过程控制设备是保证芯片生产线快速进入量 产阶段并获取稳定的高成品率和高经济效益关键设备。随着工艺节点的推进和工艺的复杂化,越来越多的 过程控制设备需要穿插在工艺流程中间,以提升芯片的成品率。过程检测市场与晶圆制造市场景气度有较强的关联,因为过程控制设备是晶圆制造环节必要设备。根 据科磊的数据,晶圆制造市场规模在 2013-2018 年持续增长,2019 年有所萎缩。相应地,过程控制市场规 模 2013-2018 年持续增长,2019 年有所萎缩,市场规模约 50 亿美元。根据 VLSI,2019 年过程控制设备市 场规模达 58 亿美元,约占半导体设备整体市场的 10%,同比 2018 年下滑 1.5%。过程控制设备中,市场占比较大的有图形晶圆光学检测设备(32%)、掩膜检查设备(13%)、薄膜测 量设备(12%)和关键尺寸扫描电子显微镜(10%),合计占比 67%。竞争格局看,科磊(KLA)是过程控 制设备市场的绝对龙头,其在膜厚测量、套刻误差测量、OCD(光学关键尺寸)测量、无图案检测、有图 案检测和掩膜版检测等细分均有最高市占率,此外,Nova、ASML、Nanomerics 和 AMAT 也占有一定市场。目前国内先进晶圆生产线的过程控制设备仍以国际厂商产品为主,国内厂商获得的订单仍较少,整体 国产化率还很低。以长江存储 30k 产能产线招标为例,检测设备方面,中科飞测中标 5 台表面形貌检测设 备,占比 100%;测量设备方面,上海睿励中标 2 台膜厚量测设备,占比 7.7%,精测半导体中标 3 台集成 式膜厚关键尺寸量测设备,占比 10%。(略)三、IC 封装设备国产化相对成熟,测试分选环节正加速突破3.1 封装设备:国内封装设备从传统往高端突破,全环节配套能力需努力IC 封装可分为四级,通常的电子封装是指零级和一级封装。IC 封装是利用膜技术及微细加工技术,将 芯片及其他要素在框架或基板上布置、粘贴固定及连接,引出接线端子并通过可塑性绝缘介质灌封固定, 构成整体结构的工艺。一般地,封装可分为四个级别,通常的电子封装指的是零级和一级封装:1) 零级封装(Wafer level):晶圆级封装,采用检测、减薄、划片等工艺,主要涉及的封装设备有晶圆 探针台、晶圆减薄机、激光切割机、砂轮等;2) 一级封装(Chip level):单晶片和多晶片组装,采用互连和封装等工艺,传统封装主要涉及的封装设 备有黏片机、引线键合机、芯片倒装机、塑封机、切筋成型机、引线电镀机和激光打标机等;先进 封装如 WLCSP 等,还需使用封装用光刻机、凸点制造设备、植球机和金属沉积设备等;3) 二级封装(Board level,PCB 级封装)和三级封装(System level,整机组装):主要有通孔插装技术 (THT)和表面贴装技术(SMT),涉及的设备有点胶机、回流炉、贴片机、封焊设备、清洗机、自 动光学检测设备等;按技术发展水平分,IC 封装可分为传统封装和先进封装,先进封装会用到一部分前道设备(晶圆制造 设备)。先进封装技术与传统封装技术可以按是否焊线来区分,先进封装技术包括倒装芯片封装(FC)、扇 出型封装(Fan-out)、晶圆级封装(WLP)、系统级封装(SiP)和三维(3D)封装等非焊线形式,在提升芯 片性能方面展现巨大优势。传统封装工艺大致分为背面减薄、晶圆切割、贴片、引线键合、模塑、电镀、 切筋/成型等工艺,用到减薄机、划片机、焊线机、贴片机、倒装机和回流炉等,而先进封装还会用到光刻、 刻蚀、电镀、PVD、CVD 等前道设备。封装测试设备市场规模较晶圆制造设备小,2019 年合计约 78 亿美元。全球半导体设备市场中,封装 设备市场较小,封装设备约占 6%,测试设备约占 9%;而在中国半导体设备市场中,封装设备约占 7%, 测试设备约占 10%。根据 SEMI,2010-2018 年全球封装设备市场规模年均增长 6.9%,2018 年全球封装设 备市场规模达到 40 亿美元,2019 年,收到贸易冲突和下游需求不景气的影响,全球封装设备市场规模下 滑 26.58%至 29 亿美元,预计 2019 年回暖增长至 32 亿美元。半导体封装设备种类繁多,日系、欧美厂商主导市场。封装设备多达十几种,主要有黏片机、划片机、 键合机、减薄机等,其中键合机占比最大达 31%,其次为黏片机,占比 18%,划片机占比 15%。各类封装 设备市场呈寡头垄断格局,如日本 Disco 垄断了全球 80%以上的封装关键设备减薄机和划片机市场,其他 厂商还包括 ASM Pacific、K&S、Besi 等。国内封装设备整体上处于低端,在高端封装工艺中应用很少,个别机型依靠定制化需求打入市场,尚 未形成批量生产带动高端研发的良性循环,主要原因在于:1)核心零部件“卡脖子”,如气浮主轴限制了 高端减薄机和划片机的发展;2)设备研发投入高,设备试错成本高,难以形成市场反哺研发;3)国产封 装设备可靠性相对较差,客户不接受国产设备,与第 2 点形成恶性循环;4)高端技术人才和团队匮乏。国内产业高度分散,未出现代表性的企业。根据中国半导体行业协会封装分会统计,2018 年封装设备 与磨具行业销量达 4764 台(套),实现销售收入 17.17 亿元,年产能达 6609 台(套),表中 12 家厂商销量 占比 53.8%,收入占比 62.5%,行业高度分散,尚未出现代表性的龙头企业。从国内封装设备的研究和发展情况来看,本土产品可满足 LQFN、QFP、IGBT、RFID、WLP 等封装工艺 的需求,部分设备如软焊料装片机和测试分选机达国际先进水平,打入国内封装厂供应链。先进封装设备国产化率正逐步提高,传统封装设备国产化率较低。根据中国电子专用设备工业协会统 计,目前 12 英寸晶圆先进封装、测试生产线设备中,已有 17 种实现高度国产化,国产化率可达 70%。封 装用光刻机,倒装、刻蚀、PVD、清洗、显影、匀胶等设备均已满足国内先进封装需求,部分实现批量销 售。先进封装用前道设备国产率较高,光刻机、刻蚀机、植球机等超过 50%,但传统封装设备国产化率整 体不超过 10%,主要设备如划片机和键合机等仍然严重依赖进口,传统封装设备是被忽视环节,亟需支持。分设备来看:1)键合机:键合机主要包括临时键合/解键合机、晶圆键合机和引线键合机。临时键合/解键合机主要 功能为将圆片临时键合至刚性承载衬底上,以便进行减薄。晶圆键合机主要功能是将圆片互连,并使其达 到一定的界面键合强度。临时键合/解键合机和晶圆键合机的主要厂商为奥地利 EVG 和德国 SUSS,国内则 有深圳创异佳、苏州美图和上海微电子装备。引线键合机是芯片封装互连核心设备,关键参数为键合精度、工作台定位精度、键合速度、键合间距、 焊线直径、每小时产出(UPH)等。目前全自动键合机主要为 K&S 和 ESEC 两家主导,ASM、SHIKAWA 和 KAIJO 等公司紧随其后,其他种类键合机厂商主要有中电科、大族激光、北京创世杰科技、深圳开玖自动 化等,国产键合机与国际技术差距仍然较大。中电科 Octopus 系列晶圆键合机在 3D 封装、WLP Fan-out 技 术、多芯片堆叠技术及 Panel 级 Fan-out 技术等先进封装工艺应用,已批量向国内龙头封装厂提供。2)贴片机(黏片机):主要功能是将芯片安装固定在封装基板或外壳上。国际上贴片机型涵盖 150mm、 200mm 和 300mm 晶圆,主流为 300mm,其关键技术是整机运动控制、芯片拾放和图像识别。对于芯片拾 放,要求速度快、精度高。黏片机的主要厂商包括 Besi、ASM、ECSC、Hoson 等厂商,国内厂商主要有大 连佳峰自动化、上海螣芯电子等,其定位精度、生产效率和适用芯片尺寸与国际厂商产品仍有差距。3)划片机(切割机):分为砂轮划片机和激光划片机,主要功能为利用砂轮/激光对晶圆等被加工物 进行切割或开槽。砂轮划片机用于 IC、LED、太阳能电池、电阻等,主要国际厂商有日本 Disco、东京精密、 日本 OKAMOTO、以色列 Camtek 等,国内有北京中科电、盛美半导体、上海新阳、沈阳芯源、苏州伟仕泰 克等。激光划片机精度、效率更高,用于切割晶圆、蓝宝石晶圆、MEMS、薄膜太阳能电池等,主要国际 厂商有日本 Disco、美国 JPSA、瑞士 Synova 等,国内厂商包括中电科 45 所、北京科创源光电、沈阳仪器 仪表工艺研究所、西北机器、汇盛电子、兰州兰新华工激光、大族激光等。以中电科为代表的的国内厂商,晶圆划片机取得了一定突破。以国产 12 英寸晶圆划片机为例,12 英 寸划片机具有多片切割、效率高、精度高、节约人力成本等特点,国内封装企业迫切需要便宜的 12 英寸 晶圆划片机。中电科在国家“02 专项”的支持下,从 2014 年投入研发 12 英寸划片机,突破双轴结构工作 台桥接技术、大直径薄晶圆传输技术、高刚度气浮主轴技术以及刀痕识别分析系统设计等关键技术。2017 年底在苏州晶方完成工艺验证,经过 2018 年一年的技术积累,在 2019 年取得重要技术突破和市场突破, 实现批量化生产,签订合同金额过千万元。3.2 测试探针分选设备:长川科技/华峰测控逐渐突破,高端产品正大力布局(略)集成电路芯片的生产主要分为 IC 设计、IC 前道制造和 IC 后道封装测试,检测贯穿生产流程的始终。集成电路检测根据工艺所处的环节可以分为设计验证、前道量检测和后道检测。设计验证用于 IC 设计阶段, 前道量检测贯穿晶圆制造过程始终,后道检测主要运用于晶圆制造之后、IC 封装环节内。测试机在测试设备中占主要市场,其中 SoC 测试机占比最大。测试机按应用可分为存储器测试机(包 括 DRAM 和 NAND 等非易失性存储器)和非存储器测试机(包括 SoC、数字芯片、模拟/混合电路芯片), 从测试设备市场看,测试机占比最大,合计 64%以上,其中 SoC 测试机占主导,分选机和探针台分别占 16% 和 15%。从市场空间看,测试机市场快速成长,2018 年达 37 亿美元。根据 VLSI,2018 年全球测试机市场规模 达 37 亿美元,同比增长 25.5%。爱德万预计 2019 年存储器测试机将成为高增长点,存储器测试机市场将 增长 20%,非存储器测试设备市场增长 5%,测算 2019 年全球测试机市场规模达 39.5 亿美元。随着封测产 业开始新一轮景气周期,测试设备进入上升周期。国内模拟测试机市场规模为 4.3 亿元,国内 SoC 类测试 机市场规模约为 8.5 亿元,约为模拟测试机市场 2 倍,本土企业有望从模数混合逐渐向 SoC 测试机突破。从竞争格局看,测试机市场由国际厂商主导。国际厂商如日本爱德万、美国泰瑞达、科利登和科休等 占主导地位,合计市占率可达 75%。各家厂商侧重不同,泰瑞达在 SoC 测试机领域具有绝对优势,市占率 接近 50%,能够提供模拟、混合信号、存储器及超大规模集成电路测试;爱德万侧重存储器测试机,其存 储器测试机市占率达 60%,SoC 测试机市占率达 35%;科利登和科休则布局测试机和分选机。国内厂商在模拟/混合电路测试和分立器件测试领域可实现进口替代,国产化率较高,但在存储器和 SoC 测试机邻域实力薄弱。其中华峰测控、长川科技和宏测半导体等模拟/混合电路测试机年出货量接近 700 台,占国内模拟测试机市场份额的 85%,联动科技、宏邦电子分立器件测试机国内市场份额超过 90%。 而在 SoC 和存储器邻域,本土企业还尚未形成成熟的产品和市场突破。华峰测控正计划进入 SoC 测试机市 场,其募投项目达产后可实现 200 套 SoC 测试机的产能;长川科技聚焦于模拟/混合电路和大功率测试机; 而精测电子和华兴源创则深耕面板检测设备。(略)考虑到产业转移背景下,本土设备需求增速将显著高于全球,根据测算,我们预计探针台大陆市场规 模将从 18 年 10.8 亿元增长至 2020 年 18 亿元,CAGR 接近 30%,而分选机大陆市场规模有望从 18 年 11.5 亿元增长至 2020 年 22.2 亿元,CAGR 约 40%。国产替代为本土厂商带来良机。国内测试设备制造龙头自 2012 年以来实现了年复合超过 50%的快速 增长,这种增长主要受益于国产替代,但目前国内 90%以上市场份额仍被海外公司占据。测试设备中,探 针台精度要求在 1 微米级别,因此技术壁垒高,市场被日本东京电子和东京精密垄断,分选机则一定程度 上实现了国产替代,长川科技在国内市场份额约 12%。在国家政策支持本土半导体公司发展的大背景下, 国产替代正在加速推进且空间十分广阔,当前是切入测试设备领域的黄金时期。探针台国产自给率几乎为 0,本土厂商处于市场导入阶段。国内主要有三家:中电 45 所曾一度在国内 市占率达到 67%,但因技术劣势,市占率被压缩;长川科技已研发 8 英寸和 12 英寸探针台,8 英寸已开始 发货;深圳矽电可量产探针台。分选机方面,本土厂商国内市占率约 12%,国内厂商有一定突破。本土分 选机企业主要有长川科技(重力式和平移式)、华兴源创(平移式、转塔式)、金海通(平移式)、上海中艺(重力式)等。其中,长川科技已通过长电科技的验证,实现了批量销售,华兴源创实现了小批量销售。(略)四、硅片设备在 6-8 寸具备自给能力,12 寸大硅片建设带动配套机会4.1 硅片生长加工设备:长晶炉 8 寸往 12 寸突破,研磨抛光设备国产化较低硅片制造设备将纯净的多晶硅材料制造成一定直径和长度的硅单晶棒,然后将硅单晶棒经过一系列的 机械加工、化学处理等工序,制造成具有一定几何精度要求的硅片或外延片,为集成电路制造提供所需硅 衬底。硅片制备的一般流程为:晶体生长→滚磨/整形→切片→退火→倒角→研磨→刻蚀→抛光→清洗→检 测,涉及众多专用设备,其中单晶炉、抛光机和检测设备是核心设备,其投资额分别占设备投资的 25%、 25%和 15%。晶体生长工艺主要有直拉法和区熔法,对应设备为直拉单晶炉和区熔单晶炉。直拉法是目前主要的晶 体生长工艺,目前 85%以上的单晶硅通过直拉法生长。热场系统是直拉单晶炉硅单晶成晶的最重要条件之 一,热场的温度直接影响硅单晶直拉过程和成品单晶的质量,因而热场的结构和效能是直拉单晶炉的核心 技术之一。直拉单晶炉目前的供应商国际上有美国 Linton Crystal 、日本菲洛泰克和德国 PVA TePla,国内 主要有西安理工晶体科技、晶盛机电、京运通、七星华创等。区熔法生产的硅单晶纯度较高,但直径较小、工艺成本较高,目前能制备的单晶棒直径最大为 200mm, 主要用于电力电子期间、光敏二极管、射频探测器和红外探测器等,供应商国际上有德国 PVA TePla,国内 有西安理工晶体科技、晶盛机电、京运通。1)单晶炉市场规模约 30 亿,国产化率较高,可达 77%。国内 8 英寸单晶炉已逐步开始实现国产化替 代,12 寸单晶炉开始小批量生产(国内仅晶盛机电和南京晶能)。代表厂商晶盛机电 8 英寸单晶炉已产业 化,12 寸单晶炉小批量生产,此外还布局硅片制造其他专用设备,成功研发 6-12 英寸晶体滚圆机、截断 机、双面研磨机及 6-8 英寸的全自动硅片抛光机,已逐步批量销售。2) 抛光机市场规模约20亿,目前国产化率几乎为0。国内抛光机采购依赖日本Speedfam、日本Fujikoshi、 美国 PR Hoffman、德国 Lapmaster 等,国内厂商如晶盛机电成功研发 6-8 英寸抛光机,中电科、苏州赫瑞 特电子、湖南宇晶机器等也有生产。3)检测设备市场空间巨大,依赖进口,国产化空间较大。检测环节设备与前道测试/过程控制设备贯 穿于芯片制造环节,检测设备在前述章节论述。晶盛机电:布局硅片环节,包括长晶设备、切片设备、加工设备等。2007 年研制出国内首台全自动直 拉式单晶炉;2009-2011 年承担了 02 专项中“300mm 硅单晶直拉生长装备的开发”和“8 英寸区熔硅单晶 炉国产化设备研制”两个项目;2012 年实现半导体级单晶硅棒的研制,次年成功研制区熔单晶炉;2014 年区熔单晶炉商业化。目前,晶盛机电已成长为国内单晶炉研制的龙头企业,产品应用于光伏、集成电路、 LED 等,客户包括有研半导体、郑州合晶、金瑞泓、天津环欧、中环、宜昌南玻、包头晶澳等,其中中环 为第一大客户。大硅片单晶炉国内供应商稀少,晶盛机电可供应大尺寸硅片单晶炉,业务布局延伸至磨切抛光等设备。国内仅少数半导体设备厂商能供应单晶炉,仅限于 6-10 英寸小硅片,切大硅片均匀性和缺陷密度等关键 指标达不到客户要求。晶盛机电单晶炉可制备 6-18 英寸硅片,满足下游厂商需求。此外,晶盛机电成功研 发了 6-12 英寸晶体滚圆机、截断机、双面研磨机及 6-8 英寸的全自动硅片抛光机,已逐步批量销售,出货 体量和营收规模相对于晶体生长设备还比较小。晶体硅生长设备出货和营收年年攀升,毛利维持在高水平。收入方面,晶盛机电晶体硅生长设备, 2018 年实现晶体硅生长设备销售 1344 台,收入 19.40 亿元,同比增长 23.4%。毛利方面,晶盛机电毛利率保持 在 40%-50%的水平,整体稳健。未来随着国产大硅片项目陆续推进,带动晶盛相关硅片设备营收增长。全球对 12 寸大硅片需求强劲,长期维持供需偏紧态势。而硅片产能和份额主要被日本信越(28%) 、 日本 SUMCO(25%)、台湾环球晶(17%)、德国 Siltronic(15%)和韩国 LG Siltron(9%)占据,合计 94% 的份额,而 12 英寸大硅片前五家合计份额高达 98%,其他硅片厂商包括法国 Soitec、上海硅产业、北京有 研、浙江金瑞泓等。硅片尺寸看,12 寸占比 63%,8 寸占比 27%,6 寸占比 10%;下游应用领域看,12 寸 硅片以先进逻辑芯片、存储芯片为主,而 8 寸硅片使用中,23%用于模拟器件,21%用于 MOS 管,17%用 于光电器件,16%用于分立器件。全球硅片市场规模在百亿美金,本土半导体晶圆线产能建设力度较大, 带动对大硅片及国产化的需求,硅片相关设备国产化空间巨大。2020 年国内对 12 寸大硅片需求预计为 105 万片,对 8 寸硅片需求预计为 96.5 万片,本土规划的 12 寸和 8 寸硅片产线若满产,可完全覆盖国内市场对硅片需求。目前,国内规划中的 12 寸大硅片合计:662 万片,包括:新昇 60 万片,金瑞泓 40 万片,中环领先 52 万片,奕斯伟 50 万片,宁夏银和 20 万片,郑 州合晶 20 万片,有研德州 30 万片,杭州中芯 20 万片,超硅 85 万片、中晶嘉兴 100 万片等。国内规划中 的 8 寸大硅片合计:345 万片,包括:超硅 50 万片,中环领先 105 万片,金瑞泓 52 万片,宁夏银和 50 万片,郑州合晶 20 万片,有研德州 23 万片,杭州中芯 35 万片。目前规划硅片项目总投资额在 1400 亿,其中设备相关投资约 1100 亿元,如果按照 4-5 年的投资计划 来看,预计年均设备需求在 225 亿元左右。具体看:硅片制造设备—单晶炉 56 亿元;整型设备—滚轮截 断机 25 亿元,切片机 11 亿元,磨片机+倒角机 23 亿元,抛光机 34 亿元,清洗机 23 亿元;检测设备 34 亿元。晶盛机电、北方华创、京运通、中电 45 所等长晶、切磨抛、清洗等环节厂商的硅片设备厂商,有 望受益与本土硅片产线大规模兴建。五、投资参考略,详见报告原文。……(报告观点属于原作者,仅供参考。报告来源:中信建设)如需报告原文档请登录【未来智库】。

散而不反

联得装备3C设备系列报告:2022年国内模组设备为130亿左右(可下载)

获取《联得装备3C设备系列报告:OLED投资高峰向模组段转移,公司成长有望加速》完整版,请关注gongzhonghao:vrsina,后台回复“综合报告3”,该报告编号为20bg0048。[研究报告内容摘要]事件公司近期发布2019年业绩快报,2019年实现营业收入/归母净利润分别为6.89/0.81亿元,同比分别变动3.77%/-5.57%。简评OLED投资高峰由面板段移至MDL模组段,模组设备厂商对应市场空间快速增长:①2018-2020年是国内OLED产线面板段投资高峰,国内在建/规划的OLED产线包括京东方绵阳、成都、重庆、福清线、天马武汉线、华星光电武汉、深圳线、和辉上海线、维信诺固安、合肥线、惠科长沙线、柔宇深圳线等合计12条产线,单线产能大多为48K/月或30K/月,对应480亿或300亿投资额,大幅拉动2018-2020年国内OLED面板产线投资;②随着国内OLED面板企业的良率逐步提升,叠加下游OLED产品渗透率提升带来的需求增加,国内逐渐迈入后段模组线的建设过程,迅速拉动后段模组设备的需求。判断OLED面板后段模组线的设备投资高峰期在2020-2022年;③我们以48K产线对应25条模组线、单条模组线设备价值量1-1.2亿计算,未来3年国内模组线建设数量有望达到280条左右,对应模组设备价值量约280-330亿。综合考虑上市面板产线投产计划,判断2020-2022年国内模组设备市场空间分别为60-70亿、100-110亿、130亿左右,而2019年国内模组设备市场空间仅30-40亿左右,足见市场的快速增长。公司在贴合设备领域保持领先,且有望切入其他设备环节:①当前OLED模组设备主要包括绑定、贴合、折弯、激光、检测、点胶等几大类设备。根据我们统计,贴合设备在全部设备里价值量占比约25-30%左右,则对应2020-2022年约18/28/35亿元市场空间;②我们以京东方成都项目目前已招标的各类设备进行统计。该项目截至2020年2月底已招标各类贴合设备(保护膜贴附机、光学胶贴合机、偏光片贴片机、贴膜机等)102台,其中联得装备中标23台,在国产厂商中保持绝对领先地位;未来在设备国产化趋势下,仍有进一步成长空间;③除贴合设备外,公司的AOI检测设备目前已与多家企业进行商务洽谈中,包括京东方、南京群志、富士康、华为等企业,未来有望通过AOI压痕检测、API检测等设备产品形成订单,成为公司未来新的利润增长点之一。除3C设备外,积极培育汽车电子、半导体封装设备等新的业务增长点:①在持续加强面板后段模组组装领域的设备研发之外,公司积极拓展半导体封装设备产品在新兴领域的应用市场,同时抓住进入汽车电子领域的机遇,通过多维度的产品布局,丰富产品种类,形成稳健持续的发展平台;②在汽车电子领域,公司在在2018年底与德国大陆集团建立了初步的商务关系,基于公司前期在研发方面的投入及扎实的技术储备,得到德国大陆集团的认可和机会。2019年全年,公司与大陆集团签订销售订单累计共计0.75亿元(含税),产品包括戴姆勒MRA2-Backlight线、FPKMEDIUMbacklight、BMWAZVDryBonding线、AUDIVPE/PPE-backlight组装线等,整体取得快速增长。除大陆集团外,公司也与博世集团签订了订单,客户资源呈增长之势;③在半导体后道封装设备领域,公司目前研发成果已有半导体倒装设备和SFO光学系统检测机设备,前述产品已完成样机调试,设备的精密度都达到量产的标准并得到下游客户的验证。投资建议:2018-2020年是国内OLED产线面板段投资高峰,随着OLED面板企业的良率逐步提升,叠加下游OLED产品渗透率提升带来的需求增加,国内逐渐迈入后段模组线的建设过程,迅速拉动后段模组设备的需求。判断2019-2022年国内模组设备市场空间分别为30-40亿、60-70亿、100-110亿、130亿左右,处于快速增长阶段。公司在模组贴合设备领域保持领先,且有望切入其他设备环节,带来新的利润增长点。除3C设备外,公司也在积极培育汽车电子、半导体封装设备等,目前也小有收获。判断公司2019-2021年收入分别为6.89/10.67/14.8亿元,归母净利润分别为0.81/1.43/2.46亿元,对应2020年3月3日收盘价,2020-2021年估值分别为35x/20.4x,给予“买入”评级,目标价45.8元。

唯不饮酒

2020年电子行业秋季投资策略:中国市场智能手机33%支持5G(可下载)

获取《2020年电子行业秋季投资策略》完整版,请关注绿信公号:vrsina,后台回复“5G报告及白皮书”,该报告编号为20bg0342。电子制造线涉及到大量的设备,从价值量占比来看,贴片机占比达到 39.4%,综合测试仪占比 13.5%,AOI 设备占比约 3.0%。根据 Counterpoint 的报告,2020 年第二季度中国智能手机销量同比下降 17%,但是环比增长 9%。市场份额方面,第二季度,华为市场占有率达到 46%,vivo 和 OPPO 的市场份额分别为 16%和 15%,位列第二和第三,苹果和小米紧随其后,市场占有率均为 9%。从 5G 手机渗透率来看,第二季度,中国市场售出的智能手机中有 33%支持 5G,环比增长 17%。其中,华为在 5G 智能手机销量中占据领先地位,市场占有率为 60%,其次是 vivo,OPPO 和小米。二季度中国 5G 智能手机销量占整体智能手机销量的三分之一。新浪VR知识星球报告库以近五千分,所有新浪VR报告都将由管理员上传(包含部分未在其他平台发布的非互联网相关报告)VIP用户福利不定时开启,前1000名还能领领优惠券性价比更高! 新浪VR,早一天看见未来。

请问至仁

3C自动化设备专题报告:赛道差异明显,注重结构性机会

如需报告请登录【未来智库】。1、3C 行业遇总量瓶颈,自动化助力行业提效1.1 3C 行业遇总量瓶颈,竞争愈发激烈 所谓 3C,即计算机(computer)、通信(communication)以及消费(consumer)三类电子产品的统称。 其中计算机类主要指电脑、平板电脑一类产品;通信类以智能手机为主导;消费类则是指相机、影音设备、 游戏机以及如今流行的智能穿戴设备等。随着数字化技术的迅猛发展,互联网已渗透到生活的方方面面,3C 产品不断推陈出新,更新换代频率不断加快,同时也在扩大产品范围,尽管发展时间不长,但如今 3C 产品已覆盖到生活的方方面面,为人们生活带来便利的同时,也逐渐影响着大众的生活习惯和思维方式,“智能化”、“网络化”成为了这类产品的代名词,指引行业前进的方向。其中,在 3C 设备中,手机是出货量最大,更新迭代速度最快的设备,近年来对人们的生活带来生活的改变也最大,从产业链的角度来看,3C 设备具备基本相同的产业链构成,其零部件均包括面板、芯片、电池、结构件等,而手机作为使用频率最高的设备,其产业链技术水平处于 3C 行业尖端。因此,本文主要通过分析手机的产业链构成,以及手机零部件的生产设备,来对 3C 行业进行拆解,从而寻找优质赛道和未来潜在的增长点。 经过十余年的发展,如今 3C 行业已逐渐步入成熟期,从总量上来看已经进入存量竞争的阶段,如图表 2、3 所示,我国及全球范围内智能手机出货量近几年明显负增长,全球手机出货量从 2015 年至今,基本稳定在 15 亿部左右,我国在 2019 年的出货量为 3.72 亿部,同比下滑 15.48%,而且 2020 年手机行业将面临更为严重的冲击,新冠肺炎疫情在世界范围内的爆发,需求端和供应链双双受挫,据中国通信院发布的数据显示,2020 年 1-2 月,国内手机市场总体出货量为 2719.7 万部,其中智能手机出货量为 2680.9 万部,同比下降比率高达 44.0%。另外 Strategy Analytics 的最新数据表明, 1 月全球智能手机出货量仅为 1.005亿部,同比减少 7%,其中,工厂设在中国的华为和苹果受影响最为严重。预计 2020 年上半年全球智能手机,尤其是头部厂商的出货量将大幅下滑,2020 年全球智能手机出货量或将继续下滑。3C 行业在过去几年的快速发展催生了大量的优质公司,包括华为、小米等,更是带动了 3C 器件、材料和设备整个供应链,相关企业的数量持续上涨,2019 年企业数位 19.24 万家,但是近年在 3C 制造业产品销售收入逐步放缓甚至在 2018 年几乎零增长的现状下,行业竞争愈发激烈竞争愈发激烈,企业销售收入普遍呈现疲软态势,3C 行业毛利率始终起色不大、难以实现大幅增长。虽然 3C 行业产品从总量上已经进入存量阶段,但是由于技术持续迭代、劳动力成本的提升,3C 行业 仍然存在较大的结构性机会。2、3C 自动化涵盖三大工艺环节,产品创新和加速迭代推进国产设备发展2.1 手机解构:主板和面板是智能手机的主要价值构成在掌上电脑中融入通话功能,便有了智能手机的概念,相比于传统手机,其综合性能更高,功能更强 大。如同个人电脑一样,智能手机有独立的操作系统和运行空间,用户可以自主安装应用程序,同时随着 人工智能、芯片技术以及 5G 通信技术的发展,智能手机的功能愈发强大,运行速度也越来越快,现已成 为了人们日常工作生活必不可少的组成部分。那么,一部智能手机是由哪些部分组成的呢?市面上的智能手机款式众多,但整体结构都是大同小异 的,我们可以大体将智能手机的组成划分为显示屏、芯片、电池、摄像头、PCB 和外壳(结构件)六部分。从硬件成本的占比来看,我们以 iPhone 11 Pro max、华为 P30 Pro 和小米 10 Pro 的物料成本来进行分 析。综合以上三款手机的物料成本及主要零部件价格我们可以得出的结论是:智能手机物料成本的投入主 要在占比最大的是显示屏,即面板模组;其次是搭载基带、射频收发器、功率放大器等主板芯片;摄像头 也是成本中重要的一部分。但由于材质、制造工艺和品牌的不同,同类型零部件之间也存在一定程度上的 价格差异,因而导致了不同款式的智能手机物料成本不同,在综合品牌效应、研发成本等其他因素最终导 致智能手机的售价相差甚远。3C 产品的制备过程复杂,按照最常见工艺流程来看,包括前段零部件(机身/显示模组/摄像头模组/电 池模组等) 生产、 中段模块封装(SMT/LCM/OLED)、后段整机的组装、测试和包装等三大环节。2.2 前段:核心零部件制造加速本土化,产品迭代和技术突破推进自动 化发展前段的零部件主要有集成电路(IC)、印刷电路板(PCB)、液晶模组、背光模组、触摸屏、电池、外 壳、摄像头等,零部件制造所需加工设备比较多。2.2.1 主板 IC 部分:美日荷占据 50%的市场份额;3D NAND 技术催生刻蚀/CVD设备需求 IC 产线可以分成 7 个独立的生产区域:扩散(Thermal Process)、光刻(Photo- lithography)、刻蚀 (Etch)、离子注入(Ion Implant)、薄膜生长(Dielectric Deposition)、抛光(CMP)、金属化(Metalization)。扩散属于高温工艺(目的是掺杂);光刻利用光刻胶的感光性将掩膜版上的图形转移到光刻胶薄膜上;刻蚀 将光刻胶上的图形复制在硅片上;离子注入是对硅片进行掺杂;薄膜区是淀积介质和金属层;抛光是将硅 片上表面凹凸不平的区域平坦化;金属化是制备金属互联线和形成接触。这 7 个主要的生产区域和相关步骤以及测量等都是在晶圆洁净厂房进行的。在这几个生产区都放置有 若干种半导体设备,满足不同的需要。例如在光刻区,除了光刻机之外,还会有配套的涂胶/显影和测量设 备。具体的工艺和所需设备如下图所示。集成电路设备市场被美日荷垄断。集成电路设备制造技术含量高,投入成本大,目前市场处于相对垄 断地位,全球前十集成电路设备供应商主要来自美日荷,占据 50%的市场份额。3DNAND 趋势下设备需求不断提升。存储工艺从 2DNAND 转向 3DNAND,刻蚀、薄膜生长工序数量成倍增长,相应设备需求量翻倍以上增长。在传统 2DNAND 生产产线中,刻蚀、薄膜生长设备占整条产线约 30%的投资额,而在 3DNAND 产线中,刻蚀、薄膜生长工序数量大幅上升,设备投资占到了 70%以上。3DNAND 的思路在于堆叠层数的增加,可以做到兼顾容量、性能和可靠性,鉴于大数据、云计算的应用需求,未来对于数据存储容量和计算速度的要求只会越来越高。目前各大厂商加大了对于 3DNAND 的研发投入,得益于这个背景,刻蚀、薄膜生长等设备市场规模有望保持快速增长。2.2.2 主板 PCB 部分: PCB 自动化设备被外资企业垄断;国内市场进入壁垒高、竞争小PCB 的生产工艺流程非常复杂,大体可分为内层制作和外层制作两部分。图 24、25 简单列示了 PCB 的内外层制作生产工艺。由于 PCB 的生产工艺流程的复杂性,生产线上所需的设备种类繁多、功能各异,如图 26、27 所示。 可分为 PCB 制前设备(自动冲片机、显影、电镀、蚀刻、除膜等机器喷嘴)、PCB 机械加工设备(真空层 压机、PCB 基板磨砂研磨机等)、电镀/湿制程设备(显影蚀刻脱膜等)、网印/干制程设备(各种 UV 固化 机)、PCB 测试/品管设备等。国内 PCB 行业发展较晚,设备及制程工艺被外资企业垄断。相对日本、韩国、台湾地区,我国的 PCB 行业发展较落后,高端的产品如高密度 FPC、高阶封装基板基本为外资企业垄断。国产 PCB 设备不断发展;市场进入壁垒高,竞争小。国产高端设备/仪器,尤其是智能化、数字化 PCB 设备、仪器,其水平和质量都在大踏步进步和飞速发展。国产高端设备不断替代进口,被国内外著名的 PCB 企业认可并订购使用,如激光钻孔机、激光切割机、数控钻床、自动激光成像系统(LDI)、垂直连续电镀 自动线(VCP)、全自动智能通断检测等。国内主营 PCB 专用设备/仪器的代表公司有大族数控、正业科技、 宇宙集团、麦逊电子和大量数控科技。国内 PCB 专用设备排名第一的是大族数控,是由深圳市大族激光科 技股份有限公司组建的全资子公司,开发和生产 HANS 系列 PCB 激光设备、PCB 数控钻铣机等印刷电路 板行业的专用设备,适用于印刷电路板的精密钻孔和异形槽、孔、边 框的铣削加工。2017 年营收高达 17.53 亿元,同比增加 22.50%;正业科技排名第二,2017 年营收为 12.65 亿元,同比增长高达 110.83%。整体来 说,PCB 专用设备/仪器代表公司营收情况较好,市场进入壁垒较高,竞争相对较小。2.2.3 面板部分:国内面板产线进入密集建设期,检测设备需求大涨 面板生产线设备使用周期短,升级改造需求频繁。TFT-LCD 与 OLED 生产工艺均可分为前段 Array、 中段 Cell 与后段 Mole 三部分。其中 Array、Cell、Mole 三个制程的设备投入占比约为 7:2.5:0.5, 2447.8 亿的设备需求对应三个制程的设备分别为 1,713 亿、622 亿、122 亿。与显示面板生产等前端工序所 用的生产设备相比,模组组装设备采购金额相对较小,但设备使用周期较短,设备更新和升级改造的要求 比较频繁,所以市场需求将会比较强劲。本部分将介绍 Array 段和 Cell 段制程和所需的设备进行介绍,Mole 段制程和所需设备将在 2.2.2 做 详细介绍。在前段 Array 制程上,TFT-LED 和 OLED 工艺和设备大致相同。由于 OLED 采用 LTPS TFT 背板, 相较于传统 a-Si TFT LCD 的 Array 制程,添加了激光结晶设备和离子掺杂机。Array制程设备基本由美日韩企业所垄断。前段Array制程为TFT背板制程,核心设备包括沉积设备、 曝光设备、显影、蚀刻设备,主要供应商为 ULVAC、东京电子、AKT(应用材料子公司)等日本和美国的 半导体设备供应商。目前国内相关设备技术较落后,无法切入目前的面板生产线,Array 制程设备基本由 美日韩企业所垄断。在中段 Cell 制程中,TFT-LCD 与 OLED 的制程差异较大,TFT-LCD 的 Cell 制程设备涉及 PI 涂覆/固 化设备、定向摩擦设备、灌注液晶/封口设备、基板对位压合机等一系列传统液晶面板制作设备,OLED 由 于采用有机材料制作自发光的 RGB 画素,在工艺流程上有所改进,引入了蒸镀设备、喷墨打印设备以及 封装机等设备。检测设备国产品牌异军突起,精测电子快速切入国内产线。TFT-LCD 在 Cell 段重要设备如液晶灌注、 对位压合设备以及 OLED 在 Cell 段的蒸镀设备、喷墨印刷设备、封装设备目前仍由海外企业垄断;检测设 备国产品牌异军突起,精测电子快速切入国内产线。2.2.4 外观结构:3D 曲面玻璃成为趋势,热弯机和精雕机成为主战场 我国市场上的手机屏幕应用较为广泛的是 2.5D 玻璃屏幕,2D 玻璃屏幕的市场占比已经逐渐减小,性 能更为优越的 3D 玻璃屏幕占比开始增大。3D 玻璃具有轻薄、透明度更高、抗指纹性强、防眩光、耐刮伤 等优点。另外,随着柔性 OLED 屏的广泛应用,3D 玻璃与 OLED 屏相结合,性能更为优越,有望成为未 来手机屏幕的发展趋势。目前 3D 玻璃生产的工艺主要有:开料、CNC、研磨抛光、烘烤、镀膜、热弯等,工艺流程长,品质 要求高,良率低,其中热弯工艺是为关键,制约着良品率的高低。热弯工艺是 3D 玻璃制程中最核心的工 艺之一,也是难点之一。热弯工艺本身要求较高,加工良率大幅下降,直通率不到 50%。而热弯工艺导致 后续工艺变得非常复杂,热弯工艺难度主要体现在 3D 曲面成型、曲面抛光、曲面印刷、曲面贴合四大工 艺上,如若控制稍有不好,则会使得产品良率进一步下降。在 3C 玻璃的生产设备中,热弯机和 CNC 精雕机的投入较大。CNC 精雕机供应商充足,针对 2D 和 2.5D 已经是成熟的工艺。北京精雕是国内龙头企业,也是苹果链上企业,主要客户是伯恩,16 年出货量达 5000 台。同时广东佳铁也是国内龙头,苹果链上企业,主要客户是蓝思科技,16 年出货量达 5000 台。但 目前 3D 玻璃的热弯机产能不足,国内用于生产 3D 玻璃的热弯机价格在 120-180 万元之间,主要以韩国和 中国台湾进口设备为主,其中韩国 DTK 为全球领先热压机龙头,主要客户蓝思科技和伯恩;台湾盟立也 是行业龙头之一,公司 3D 玻璃热弯机在国内拥有较大知名度,主要客户为蓝思科技和伯恩。2.3 中段:STM 模组自动化发展平稳,OLED 模组加速自动化遭遇技 术壁垒 中段的模块组装涉及表面组装技术(SMT)生产线设备、平板显示模组(LCM)生产线设备。在每个 生产线设备下,根据工艺流程可以继续划分,其中 SMT 生产线设备主要有锡膏印刷机、贴片机、焊接设 备、AOI 检测设备等;LCM 生产线设备主要有端子清洗机、ACF 贴附设备、COG 设备、FOG 设备、全 自动背光组装机、粒子检测机等。2.3.1 STM 生产线易于实现自动化,检测设备 AOI 自动化改造需求大 表面贴装(SMT)生产线是主板组装(电子整机组装)的主要技术。也是 3C 产品生产过程中的关键 环节,3C 产品的质量水平很大一部分取决于此。表面组装技术(Surface Mount Technology,SMT),它是 一种将无引脚或短引线表面组装元器件(简称 SMC/SMD,中文称片状元器件)安装在印制电路板(Printed Circuit Board,PCB)的表面或其它基板的表面上,通过再流焊或浸焊等方法加以焊接组装的电路装连技术。与通孔插件工艺相比,SMT 的特点能实现高密度、高可靠、低成本、小型化、生产的自动化。首先是 组装密度高、电子产品体积小、重量轻,贴片元件的体积和重量只有传统插装元件的 1/10 左右,一般采用 SMT 之后,电子产品体积缩小 40%~60%,重量减轻 60%~80%。 其次是可靠性高、抗振能力强,焊点缺 陷率低。再者是高频特性好,能够减少电磁和射频干扰。 最后是易于实现自动化,提高生产效率,SMT 降低成本达 30%~50%。节省材料、能源、设备、人力、时间等。SMT 表面贴装的主要设备有印刷机、贴片机、焊接设备、检测设备和清洗设备。贴片机是 SMT 中的首要核心设备,用来实现高速、高精度、全自动贴放元器件,贴片机关系到 SMT 产线的效率与精度,是最关键、最复杂的设备,通常占到整条 SMT 生产线投资的 60%以上。由于表面贴 装元器件(SMC/SMD)的不断发展,其封装形式也在不断变化。新的封装如 BGA、FC、CSP 等,对贴片 机的要求越来越高,如何缩短运行时间、加快转换时间以及不断地引入具有大量的引脚数量和精细间距成 为了如今贴装设备所面临的的严峻挑战。AOI 设备全称为自动光学检测,肩负着提良率、增产能的重要使命。它利用光学手段识别焊点及电子 元器件的外形,用以判断焊接的质量。随着电子产品产品迭代速度的加快,AOI 检测肩负着提良率、增产 能的重要使命。除检测精度要求外,在规定的工作时间内能够进行更所产品的检测是 AOI 检测设备在应对 市场需求时需要提升的。就目前整个 SMT 产线运用来看,目前国内市场上只有 20%-30%的 SMT 生产线装 配了 AOI 自动光学检测,而国际领先电子制造的企业 SMT 生产线基本都配置了 AOI 光学检测。SMT 生产线的检测设备自动化改造需求大。目前,SMT 的大部分设备都已经实现了自动化,但是 SMT 生产线上运用的 AOI 检测技术,还并未完全实现自动化,未来自动化的改造需求很大。AOI 检测设备工作 原理:自动检测时,AOI 检测设备机器通过高清摄像头自动扫描产品,采集图像,测试的检测点与数据库 中的合格的参数进行比较,经过图像处理,检查出目标产品上的缺陷,并通过显示器或自动标志把缺陷显 示或标示出来,供维修人员修整和 SMT 工程人员改善工艺。2.3.2 LCM/OLED mole 制程技术壁垒相对较小,国内发展较为成熟 LCD 和 OLED 的 Mole 制程设备基本相同。 Mole 制程主要涉及 TAB-IC/OLB 设备、PCB 设备、贴合设备、检测设备。该制程将封装完毕的面 板切割成实际产品大小,再进行偏光片贴附、控制线路与芯片贴合等各项工艺,并进行老化测试以及产品 包装。后段 Mole 制程技术壁垒相对较小,国内发展较为成熟。目前,国内显示模组设备企业目前整体仍 处于小而散的局面,公司数量多但市场集中度很低。主要参包括鑫三力、集银科技、太原风华和位于深圳 的诚亿自动化、腾盛工业等。2.4 后段:工业机器换人加速发展,推进整机组装自动化率的提升 后段整机组装目前以人工为主,自动化率有待进一步提升。后段组装可以进一步分为整机装配、检测、 包装三个环节,涉及到的设备主要有工业机器人、功能检测和整体检测设备。3C 产品整机组装环节需要用到的设备主要包括机械臂+夹具、自动防水检测设备、封装设备等。用 的最多的是 SCARA 型四轴机器人,其次是串联关节型。其中,爱普生为了保持在机器人市场的龙头位置, 不断投入研发进行产品创新,在 T3 之后推出了易用性更强、性价比更高、负载和臂长更长的 T6 紧凑型 SCARA 机器人。FANUC 专门针对中国市场,推出了两款超快速的 SCARA 机器人,分别是 SR-3iA 和 SR-6iA。为了满足电子产品组装加工日益严格的要求,机器人也根据 3C 制造上的需求,进行特制,小型化、 简单化的特性实现了电子组装高精度、高效的生产。在提高产品生产效率的同时,减少了设备的占地面积, 降低企业的土地成本。3、 3C 自动化市场空间增长潜力大;AMOLED 柔性屏和陶瓷后盖设备具有发展潜力3C 制造行业竞争激烈,中国人口红利逐步消失,成本端驱动行业自动化率提升。目前我国 3C 生产企 业主要以代工形式存在,生产附加值低的产品,主要依靠劳动力的比较优势,盈利水平不高。伴随着我国 人口红利逐步消失,制造业劳动力成本的逐步上升,以及国内零部件企业综合实力的提升,3C 产业自动化 是唯一解决路径,能够有效降低生产成本。3.1 3C 设备空间增长潜力大,不同细分领域之间存在差距 3.1.1 3C 制造业固定资产投资增速回升,新产品设备投资高达千亿 3C 领域固定资产投资增速持续回升,整体市场空间上市充满潜力。3C 制造业投资累计同比增速为 16.8%,较前值提升 3 个百分点,3C 投资增速处于持续上行通道。2019 年被称为“5G 元年”,受益于 5G 渗透率的逐步提升,从 2019 年 3 月份开始,计算机、通信以及其他电子设备等制造业固定资产投资逐步 回暖,计算机、通信和其他电子设备制造业固定资产投资完成额累计同比增速已提升至 16.8%。2020 年 5G 建设将进一步加速,相应的设备需求量将显著增加,计算机、通信及其他电子设备固定资产投资有望进一 步提升。2017 年 3C 制造业的固定资产投资为 1.29 万亿,同比增加 23.41%,增速较 2016 年加快 7.59 个百分 点。2012-2017 年,3C 制造业固定资产投资复合增长率为 16%;根据历史数据、假设未来 3 年 3C 制造业 固定资产投资的复合增长率保持历史水平,预计2018-2020年的3C制造业固定资产投资分别为1.49、1.71、 1.96 万亿元。假设电子制造行业设备投资占固定资产投资的 40%、新生产线的设备投资占总设备投资的 50%,预计 2018-2020 年的 3C 制造企业设备投资额将达到 5941、6832、7856 亿元,新产品的设备投资额 将达到 2970、3416、3928 亿元。技术和成本双驱动,3C 设备增长潜力大。3C 产品生命周期较短,技术更新快,产品以多批次、小批量为主,而自动化生产线的柔性不如传统流水线,一旦产品更新换代,自动化生产线需要重新规划布局, 风险难以预支。首先是市场容量的增多,在广度上,3C 细分产品的涌现、带来新的增量市场;而在深度上,新功能带 来的新变化,金属手机壳取代塑料手机壳带来 CNC 加工设备需求大规模增长,玻璃机壳代替金属机壳带 来精雕机需求大增,3D 玻璃替代 2.5D 玻璃带来热弯机的全新需求。其次在成本上,3C 制造行业竞争激烈、利润率普遍较低,随着机器人平均价格的下降以及人工工资的 持续上升,成本端驱动行业自动化率提升,进而控制成本。工业机器人在助力 3C 设备自动化升级有着关 键作用,从长远看不仅比人力成本投资回报率更高而且可减少成品的残次品率降低。工业机器人成本和同 等人力成本差距的逐步缩小,使得更多小型制造商更愿意选择工业机器人代替人工。因此,柔性灵活个性 化的产品需求、低利润、高成本等因素无一不促使企业加快提升自动化率,带动 3C 行业的发展由以往的 劳动力驱使转变为设备驱动。3.1.2 3C 设备在不同领域差距明显,半导体、面板设备空间大,技术含量高 3C 制造业自动化率整体偏低,不同领域之间区分较大。3C 制造业因产品生命周期短、工艺变化大, 技术可实现性及资金投入大等原因自动化程度较低,主要应用在冲压、打磨、抛光、喷涂及测试环节,其 他环节如装配、包装目前自动化程度普遍较低。中国的 3C 产业链在前端零部件和中端模组的自动化程度 已普遍达到了 50%以上。但当前国内的非标设备多为手动或半自动,全自动型的数量还不多。尤其在后端 的整机组装、测试、包装环节,目前自动化水平不到 15%,因此 3C 制造业自动化率还有很大的提升空间。与此同时,不同细分领域之间区别较大,比如半导体设备等,其单体价值大,技术要求高,2018 年半 导体设备空间为 645 亿美金,作为半导体核心设备的光刻机的市场空间,其次为面板设备,最后是模组段, 尤其是整机组装测试环节,设备种类多,单体价值不高,同时技术壁垒相对较低导致竞争激烈。不同在不 同赛道的土壤下,产生了不同的设备上市公司。半导体设备作为 3C 设备领域最优质的赛道,产生了总市值超过千亿美金阿斯麦,其他公司比如应用 材料、东京电子、泛林集团等营收也均超 100 亿美金,而且毛利率基本在 40%以上。主要核心设备领域仍 然海外厂商主导,市场集中度相对较高。国内设备厂家在刻蚀等环节实现逐步突破,推动半导体设备国产 化发展,但目前在多个中高端产业链环节依赖国外进口。面板设备 3C 自动化设备上市公司的集中地,尤其是随着国内面板的逐步国产化,京东方、天马、华 星光电等的崛起也塑造了一批面板设备上市公司,比如联得装备、精测电子等,国内面板设备龙头公司精 测电子,市值超百亿人民币,国内面板产线已进入密集建设期,面板生产线设备使用周期短,升级改造需 求频繁。与显示面板生产等前端(Array & Cell)工序所用的生产设备相比,模组组装(Mole)设备采购金额 相对较小,但设备使用周期较短,设备更新和升级改造的要求比较频繁,所以市场需求将会比较强劲。其他加工、贴合和整机设备等盈利难度大,各类公司市值不超过百亿人民币。其中,对于加工设备(精 雕机、热弯机等)和整机设备的成本控制不足,大部分公司即使在营收亮眼的情况下也无法保证盈利。而 从事制造 SMT 设备的企业的利润率在 15~30%不等。3.2 3C 设备对应环节众多,技术壁垒差异较大;国内企业已取得部分 突破 3C 设备对应环节众多,技术壁垒差异较大。以智能手机为例,3C 产业链可分为上游零部件(机身/显 示模组/摄像头模组/电池模组等)生产、中游模块封装(SMT/LCM)、下游整机的组装、测试和包装等三大 环节。上游的主板部分的集成电路(IC)和印刷电路板(PCB)设备制造技术含量高,投入成本大,目前市 场处于相对垄断地位。其中,全球前十集成电路设备供应商主要来自美日荷,现阶段设备行业的龙头主要 有应用材料、东京电子、泛林半导体、科天、阿斯麦等,设备行业集中度进一步提高,前十大半导体设备 公司占总市场规模的 96.10%。国际上 ASM Pacific、K&S、Besi、Disco 等 IC 封装设备厂商的收入体量在 50-100 亿元,其中 K&S 的划片机和减薄机市场,表明全球封装设备的竞争格局也和制程设备、测试设备 一样,行业高度集中,但是目前没有国内封装设备龙头出现。在线焊设备方面全球领先,球焊机市场率 64%,Besi、ASM Pacific 垄断装片机市场,Disco 则垄断全 球 2/3 以上。相对日本、韩国、台湾地区,我国的 PCB 行业发展较落后,高端的产品如高密度 FPC、高 阶封装基板基本为外资企业垄断,但是国产 PCB 设备也在不断发展。国产高端设备/仪器,尤其是智能化、 数字化 PCB 设备、仪器,其水平和质量都在大踏步进步和飞速发展。面板部分 Array 和 Cell 段制程设备基本由美日韩企业所垄断,目国内相关设备技术较落后。这两道工 艺较为复杂,对应设备技术壁垒高,国内设备商无法切入目前的面板生产线,Array 和 Cell 段制程设备基 本由美日韩企业所垄断。外观结构部分的 CNC 精雕机供应商充足,但热弯机主要依靠进口。我国 CNC 精 雕机供应商充足,针对 2D 和 2.5D 已经是成熟的工艺;但目前 3D 玻璃的热弯机产能不足,主要以韩国和 中国台湾进口设备为主。但借鉴金属 CNC 加工设备爆发的逻辑,玻璃热弯机和五轴的玻璃精雕机将复制 当年随着新盖板产品的大规模铺货,国外设备企业将率先凸起,随着国内厂商技术实现突破和下游需求的 倒逼,国内设备厂商也将迎来爆发式增长。中游 SMT 模组主要设备有贴片机、焊接设备和检测设备,其中 AOI 光学检测设和自动贴片机主要依 靠进口,且价格较高。就目前整个 SMT 产线运用来看,目前国内市场上只有 20%-30%的 SMT 生产线装配 了 AOI 自动光学检测,而国际领先电子制造的企业 SMT 生产线基本都配置了 AOI 光学检测。同时,也有 部分国内厂商正尝试着在设备中使用直线电机,但 AOI 设备并没在国内 SMT 产线中大规模普及,直线电 机需求还处于“蛰伏期”。 LCM/OLED 后段 Mole 制程技术壁垒相对较小,国内发展较为成熟。相比 Array 和 Cell 段,Mole 段门槛相对较低,且更换频率更高,已经率先开启国产化进程。目前,国内显示模组设 备企业目前整体仍处于小而散的局面,公司数量多但市场集中度很低。但随着国产面板设备技术不断突破, 进口替代比例有望持续增加。下游的整机的组装、测试和包装涉及到的设备主要有工业机器人、功能检测和整体检测设备,我国工业机器人供应充足,产业链逐步国产化。在检测端,检测设备可以分外观检测和功能检测两类,随着 3C 行 业的竞争的加剧以及复杂程度和集成程度的上升,传统人工检测已经无法满足检测需求,自动化、集成化 提升的需求高涨,检测端上机器视觉检测已经越来越成为行业主流。其中,华为、三星、苹果等大厂家, 每一道工序之后基本都要有检测环节,保证了良品率,也为企业带来了高品质的产品、高效的生产,并且 降低企业的成本,提高企业的效益。3C 产业链上游零部件生产对应设备技术难度较高,目前国内设备厂商主要集中在后端环节,主要包 括:显示模组设备(点胶、贴合和固化)、SMT 生产线设备等。我们以国内企业已取得突破的面板模组环 节和 3C 自动化领域为例测算,2020-2021 年,3C 行业复苏给国内相关设备企业带来的设备需求空间约为 900 亿元。 ……(报告观点属于原作者,仅供参考。报告来源:广证恒生)如需报告原文档请登录【未来智库】。

麻雀王

创投日报|「苏州海之博」完成5000万元A轮融资;「杉互健康」获千万元Pre-A轮融资;以及今天值得关注的早期项目

长期以来,半导体行业的发展一直遵循摩尔定律。但随着终端产品对芯片的小型化、低能耗、高性能、低成本、高可靠性等各项指标的要求越来越高,使得晶圆制造也越来越精密,逐渐接近物理极限,进阶成本也越来越高,越来越多的行业专家认为摩尔定律失效,而先进封装将在后摩尔时代,推进半导体性能向前发展。 芯片小型化也就意味着芯片的I/O接口更多,密度更大,对封装技术及封装设备的稳定性和精度要求也更高,传统封装已经难以满,先进封装需求越来越明显。近日,36氪就接触到了一家先进封装设备的公司「华封科技」,该公司主要专注于半导体封装的装片环节,在售设备已经完成了台积电、日月光、矽品、通富微电等半导体封测厂及晶圆厂的全线测试(PoC),并已形成销售。2014年,华封科技在在香港成立,并在新加坡设研发及生产制造中心,拥有自有品牌(Capcon、AvantGo等)及自主知识产权。主要产品包括倒装先进封装设备、Fan-Out扇出型晶圆级芯片封装、2.5D/3D封装、SIP整合封装、Stack-Die Memory层叠封等,多款产品已经用于日月光为美国高通、博通、德州仪器、华为海思等公司代工的5G芯片生产线、以及为美国英伟达公司的人工智能芯片生产线。 v一问世就受到日月光青睐的AvantGo 2060W华封科技联合创始人王宏波告诉36氪,之所以能够取得这样的成绩得益于华封科技产品的优越,“封装厂的先进封装产线对贴片机的精度、速度、良品率、稳定性的要求都非常高,而且精度和速度往往是难以兼得。华封的优势就在于能够做到四者兼备。”据介绍,华封科技的设备可以做到在和欧系、日系设备同一精度的基础上,速度快上1~2倍,能实现7x24小时持续稳定工作。不仅是在性能上的有一定优势,在产品设计上。华封科技也有自己的考量。在半导体制造行业,一代产品,往往是固定的设备、工艺,一旦产品更新换代,产线也必须跟随调整,而半导体制造设备价格高昂,更换成本非常高。为了帮助封装厂降低成本,同时提升自身产品的产线适应度,华封科技的产品都采用统一标准平台以及模块化设计。如此设计使得单体设备只需要更换不同功能、不同工艺的模组就能满足产线变化的需要。“不仅是成本的降低,这样的设计还能加快下游制造企业产线变更的速度。”王宏波说。 此外,华封科技还积极配合客户进行最先进的工艺进行探索,帮助客户解决问题。此举也让客户从研发开始使用公司设备,更易产生信任感,也利于公司的产品后续销售,增强公司竞争力。市场方面,台积电、三星、英特尔、日月光、安靠、长电科技、通富微电、华天科技在内诸多厂都开始启用先进封装工艺。先进封装技术需求增加带动了先进封装设备需求迅速提升。资料显示,2019年全球先进封装设备市场体量在3.8亿美元,预计每年增速在10%,2025年将达到6.73亿美元。但长久以来,先进封装设备市场都被新加坡ASMPacific、美国K&S、荷兰Besi、日本Shinkawa等厂商占据,随着国内封装设备厂商在先进封装技术的突破,国产率有望持续扩展。2020年下半年,华封科技开始拓展国内市场,目前已经落地正式团队,之后也计划在国内设立设备生产基地,并针对中国客户方建立专业的销售团队。未来,华封科技还将继续进行产品的更新与迭代。 团队方面,华封科技的创始团队曾在新加坡知名半导体企业任职,2011年推出了苹果A9芯片封装环节所用到的POP(层叠封装)贴片机,有着深厚的技术经验。

女劫杀

钱江杭州智能谷开业 爱仕达智能制造深耕浙江市场

10月18日,第五届中国(杭州)国际机器人西湖论坛(以下简称“西湖论坛”)在杭州国际博览中心盛大开幕。本次西湖论坛围绕“人机共融”主题展开,邀请业内知名专家到场共同展望机器人与人工智能产业技术现状及未来发展趋势。19日下午,钱江机器人杭州智能谷隆重开业,成为此次西湖论坛中备受瞩目的活动仪式。同期,钱江机器人展与西湖论坛同地举行,将展出多个机器人工作站和“C2M智能数字化生产线“,揭开数字化车间及智能工厂的神秘面纱。钱江机器人杭州智能谷盛大开业爱仕达深耕浙江市场战略正式实施2016年爱仕达正式进入机器人及智能制造领域,近几年通过资本运作及业务整合,目前已形成智能制造较为完备的产业生态圈。作为省内优秀企业代表,爱仕达在制造业产业升级进程中,愿深耕浙江市场为企业实现柔性化、智能化、数字化工厂提供服务,为此决定在萧山设立钱江机器人杭州智能谷。杭州智能谷是继上海智能谷后爱仕达打造的第二个智能谷项目,二者“分工明确”,杭州智能谷具备机器人研发、体验、培训、应用、销售等功能;爱仕达上海智能谷产业园则打通智能制造上下游产业链,实现技术研发、示范体验、创新孵化、人才培训、工业互联、金融服务等平台功能。在杭州智能谷内,本次共展出机器人集成应用项目20余套,包括冲压联站、打磨标准工作站、去毛刺工作站、机器人机床上下料、变位机+地轨焊接工作站、转台总装喷涂线、多功能贴片机、JXSS环形仓储、AGV、机器人地轨码垛循环系统以及C2M电饭煲智能装配线等,较为系统的展示出钱江机器人在焊接、打磨抛光、上下料、喷涂、装配、快速换模、智能仓储与物流等多个领域广泛应用。“杭州智能谷的开业,标志着爱仕达深耕浙江市场战略正式实施。我们将整合自身资源,结合浙江市场需求,联合全国机器人用户,细分行业,量身订制,精准服务,为客户提供系统解决方案。”爱仕达董事长陈合林表示,帮助中小企业产业集群实现智能制造升级,是爱仕达、钱江机器人作为浙江本土企业的责任和使命。杭州智能谷赋能爱仕达智能制造生态圈促机器人产业协同发展记者了解到,浙江省是中国经济最为活跃的地区之一,制造业基础牢固,机器人及应用市场发展空间广阔。此番杭州智能谷“落户”,不仅为“浙江制造”增色,更在全国率先形成销售、应用与研发的协同效应,成为机器人应用标杆形象。近年来,爱仕达以钱江机器人为核心加速建设智能制造生态圈,先后控股多家智能制造公司,成立上海智能研究院,建设智能谷、东部工厂。同时,构建开放协同的工业机器人产业发展体系也正如火如荼展开。促进机器人产业协同发展,就需以工业机器人应用产业链协同发展为核心。对此钱江机器人、爱仕达“各尽其责”:钱江机器人致力于打造本体、集成商、终端用户协同发展,通过精准定位细分行业龙头企业,打造应用示范工程;爱仕达则规模化采用钱江机器人,打造两个智能示范应用工厂。同时,加以促进工业机器人本体产业链上下游协同发展,企业、高校和政府间协同发展,中国市场与国际技术协同发展,通过四方面带头推动“浙江制造”高质量发展,成为全国智造业排头兵。发展浙江、助力中国,爱仕达不断发扬敢为人先的浙江精神。放眼未来,爱仕达将与全球科技企业并肩同行,让智能制造成为激活产业动能的不竭动力。(完)来源: 浙江都市网